archlinux-proaudio

Build Status x86\_64 aarch64

Actively maintained binary package repo for Arch Linux of free and open source pro-audio software. This repository only provides packages that are not already in the official Arch Linux repository.

List of packages | GitHub repo

The repository is maintained and tested for both x86_64 and aarch64 (Arch Linux ARM) architectures.

Contributions are welcome! To suggest software for inclusion in the repo open a GitHub issue.

How to use

As we’re still working on the setup, this information maybe subject to change without notice! We try to announce important changes on IRC (#archlinux-proaudio on irc.libera.chat) and reflect the current information on the Arch Wiki.

Add the repo to your /etc/pacman.conf:

[proaudio]
Server = https://arch.osamc.de/$repo/$arch

Download, import and sign the current signing key:

# curl https://arch.osamc.de/proaudio/osamc.gpg | pacman-key --add -
# pacman-key --lsign-key 762AE5DB2B38786364BD81C4B9141BCC62D38EE5

You can now install packages from the repo using pacman -Syu <package>.

Debug packages

Similar to the official repos, we provide debug symbols in separate packages and run a Debuginfod server under https://arch.osamc.de. To use this with compatible clients like gdb, install the debuginfod package and add the URL:

# pacman -Syu debuginfod
# echo https://arch.osamc.de > /etc/debuginfod/proaudio.urls

Reboot, so the DEBUGINFOD_URLS environment variable gets initialized correctly.

Instead of using debuginfod, you could also selectively install individual debug packages from https://arch.osamc.de/debug-archive/.

List of packages

ArchNameVersionDescription
aarch64a-sid1.0.4-2Emulation of the C64 MOS 8580 SID analog filter as a VST3 plugin
Close

a-sid 1.0.4-2


Architecture:aarch64
Base Package:a-sid (PKGBUILD)
Description:Emulation of the C64 MOS 8580 SID analog filter as a VST3 plugin
Upstream URL:https://www.orastron.com/asid
Groups:pro-audio
vst3-plugins
Download Size:121.9 kB (Download)
Installed Size:1.7 MB
Licenses:GPL3
MIT
Build Date:2023-05-08 18:56 UTC
Dependencies:gcc-libs
glibc
libxcb
vst3-host: for loading the VST3 plugin (optional)
vst3sdk (make)
Package Contents
usr/ usr/lib/ usr/lib/vst3/ usr/lib/vst3/asid.vst3/ usr/lib/vst3/asid.vst3/Contents/ usr/lib/vst3/asid.vst3/Contents/aarch64-linux/ usr/lib/vst3/asid.vst3/Contents/aarch64-linux/asid.so usr/share/ usr/share/doc/ usr/share/doc/a-sid/ usr/share/doc/a-sid/ChangeLog.txt usr/share/doc/a-sid/README.md usr/share/licenses/ usr/share/licenses/a-sid/ usr/share/licenses/a-sid/sse2neon-license.txt
x86_64a-sid1.0.4-2Emulation of the C64 MOS 8580 SID analog filter as a VST3 plugin
Close

a-sid 1.0.4-2


Architecture:x86_64
Base Package:a-sid (PKGBUILD)
Description:Emulation of the C64 MOS 8580 SID analog filter as a VST3 plugin
Upstream URL:https://www.orastron.com/asid
Groups:pro-audio
vst3-plugins
Download Size:183.8 kB (Download)
Installed Size:1.7 MB
Licenses:GPL3
MIT
Build Date:2023-05-08 18:56 UTC
Dependencies:gcc-libs
glibc
libxcb
vst3-host: for loading the VST3 plugin (optional)
vst3sdk (make)
Package Contents
usr/ usr/lib/ usr/lib/vst3/ usr/lib/vst3/asid.vst3/ usr/lib/vst3/asid.vst3/Contents/ usr/lib/vst3/asid.vst3/Contents/x86_64-linux/ usr/lib/vst3/asid.vst3/Contents/x86_64-linux/asid.so usr/share/ usr/share/doc/ usr/share/doc/a-sid/ usr/share/doc/a-sid/ChangeLog.txt usr/share/doc/a-sid/README.md usr/share/licenses/ usr/share/licenses/a-sid/ usr/share/licenses/a-sid/sse2neon-license.txt
aarch64abcm2ps8.14.15-1Convert ABC music notation files to PostScript from the command line
Close

abcm2ps 8.14.15-1


Architecture:aarch64
Base Package:abcm2ps (PKGBUILD)
Description:Convert ABC music notation files to PostScript from the command line
Upstream URL:http://moinejf.free.fr/
Groups:abc
pro-audio
Download Size:192.6 kB (Download)
Installed Size:495.2 kB
License:GPL3
Build Date:2024-01-09 21:14 UTC
Dependencies:glibc
libfreetype.so=6-64
libglib-2.0.so=0-64
libgobject-2.0.so
libpango-1.0.so=0-64
libpangocairo-1.0.so=0-64
libpangoft2-1.0.so=0-64
freetype2 (make)
glib2 (make)
pango (make)
python-docutils (make)
adobe-source-han-sans-cn-fonts (check)
Package Contents
usr/ usr/bin/ usr/bin/abcm2ps usr/share/ usr/share/abcm2ps/ usr/share/abcm2ps/abc2svg.ttf usr/share/abcm2ps/flute.fmt usr/share/abcm2ps/landscape.fmt usr/share/abcm2ps/musicfont.fmt usr/share/man/ usr/share/man/man1/ usr/share/man/man1/abcm2ps.1.gz
x86_64abcm2ps8.14.15-1Convert ABC music notation files to PostScript from the command line
Close

abcm2ps 8.14.15-1


Architecture:x86_64
Base Package:abcm2ps (PKGBUILD)
Description:Convert ABC music notation files to PostScript from the command line
Upstream URL:http://moinejf.free.fr/
Groups:abc
pro-audio
Download Size:253.9 kB (Download)
Installed Size:462.7 kB
License:GPL3
Build Date:2024-01-09 21:14 UTC
Dependencies:glibc
libfreetype.so=6-64
libglib-2.0.so=0-64
libgobject-2.0.so
libpango-1.0.so=0-64
libpangocairo-1.0.so=0-64
libpangoft2-1.0.so=0-64
freetype2 (make)
glib2 (make)
pango (make)
python-docutils (make)
adobe-source-han-sans-cn-fonts (check)
Package Contents
usr/ usr/bin/ usr/bin/abcm2ps usr/share/ usr/share/abcm2ps/ usr/share/abcm2ps/abc2svg.ttf usr/share/abcm2ps/flute.fmt usr/share/abcm2ps/landscape.fmt usr/share/abcm2ps/musicfont.fmt usr/share/man/ usr/share/man/man1/ usr/share/man/man1/abcm2ps.1.gz
aarch64abcmidi2024.10.10-1A set of tools for converting ABC files to and from MIDI and other related tasks
Close

abcmidi 2024.10.10-1


Architecture:aarch64
Base Package:abcmidi (PKGBUILD)
Description:A set of tools for converting ABC files to and from MIDI and other related tasks
Upstream URL:https://ifdo.ca/~seymour/runabc/top.html
Groups:abc
pro-audio
Download Size:432.0 kB (Download)
Installed Size:1.8 MB
License:GPL-2.0-or-later
Build Date:2024-10-11 09:27 UTC
Dependencies:glibc
Package Contents
usr/ usr/bin/ usr/bin/abc2abc usr/bin/abc2midi usr/bin/abcmatch usr/bin/mftext usr/bin/midi2abc usr/bin/midicopy usr/bin/midistats usr/bin/yaps usr/share/ usr/share/doc/ usr/share/doc/abcmidi/ usr/share/doc/abcmidi/abcguide.txt usr/share/doc/abcmidi/abcmatch.txt usr/share/doc/abcmidi/AUTHORS usr/share/doc/abcmidi/CHANGES usr/share/doc/abcmidi/gpl.txt usr/share/doc/abcmidi/history.txt usr/share/doc/abcmidi/hudsonshift.txt usr/share/doc/abcmidi/programming/ usr/share/doc/abcmidi/programming/abc2midi.txt usr/share/doc/abcmidi/programming/coding.txt usr/share/doc/abcmidi/programming/midi2abc.txt usr/share/doc/abcmidi/programming/split.abc usr/share/doc/abcmidi/programming/yaps.txt usr/share/doc/abcmidi/readme.txt usr/share/doc/abcmidi/samples/ usr/share/doc/abcmidi/samples/araber.abc usr/share/doc/abcmidi/samples/baym_rebin.abc usr/share/doc/abcmidi/samples/boys.abc usr/share/doc/abcmidi/samples/coleraine.abc usr/share/doc/abcmidi/samples/daramud.abc usr/share/doc/abcmidi/samples/demo.abc usr/share/doc/abcmidi/samples/dergasn.abc usr/share/doc/abcmidi/samples/detune.abc usr/share/doc/abcmidi/samples/drums.abc usr/share/doc/abcmidi/samples/temperament.abc usr/share/doc/abcmidi/VERSION usr/share/doc/abcmidi/yapshelp.txt usr/share/man/ usr/share/man/man1/ usr/share/man/man1/abc2abc.1.gz usr/share/man/man1/abc2midi.1.gz usr/share/man/man1/abcmatch.1.gz usr/share/man/man1/mftext.1.gz usr/share/man/man1/midi2abc.1.gz usr/share/man/man1/midicopy.1.gz usr/share/man/man1/midistats.1.gz usr/share/man/man1/yaps.1.gz
x86_64abcmidi2024.10.10-1A set of tools for converting ABC files to and from MIDI and other related tasks
Close

abcmidi 2024.10.10-1


Architecture:x86_64
Base Package:abcmidi (PKGBUILD)
Description:A set of tools for converting ABC files to and from MIDI and other related tasks
Upstream URL:https://ifdo.ca/~seymour/runabc/top.html
Groups:abc
pro-audio
Download Size:542.2 kB (Download)
Installed Size:1.3 MB
License:GPL-2.0-or-later
Build Date:2024-10-11 09:27 UTC
Dependencies:glibc
Package Contents
usr/ usr/bin/ usr/bin/abc2abc usr/bin/abc2midi usr/bin/abcmatch usr/bin/mftext usr/bin/midi2abc usr/bin/midicopy usr/bin/midistats usr/bin/yaps usr/share/ usr/share/doc/ usr/share/doc/abcmidi/ usr/share/doc/abcmidi/abcguide.txt usr/share/doc/abcmidi/abcmatch.txt usr/share/doc/abcmidi/AUTHORS usr/share/doc/abcmidi/CHANGES usr/share/doc/abcmidi/gpl.txt usr/share/doc/abcmidi/history.txt usr/share/doc/abcmidi/hudsonshift.txt usr/share/doc/abcmidi/programming/ usr/share/doc/abcmidi/programming/abc2midi.txt usr/share/doc/abcmidi/programming/coding.txt usr/share/doc/abcmidi/programming/midi2abc.txt usr/share/doc/abcmidi/programming/split.abc usr/share/doc/abcmidi/programming/yaps.txt usr/share/doc/abcmidi/readme.txt usr/share/doc/abcmidi/samples/ usr/share/doc/abcmidi/samples/araber.abc usr/share/doc/abcmidi/samples/baym_rebin.abc usr/share/doc/abcmidi/samples/boys.abc usr/share/doc/abcmidi/samples/coleraine.abc usr/share/doc/abcmidi/samples/daramud.abc usr/share/doc/abcmidi/samples/demo.abc usr/share/doc/abcmidi/samples/dergasn.abc usr/share/doc/abcmidi/samples/detune.abc usr/share/doc/abcmidi/samples/drums.abc usr/share/doc/abcmidi/samples/temperament.abc usr/share/doc/abcmidi/VERSION usr/share/doc/abcmidi/yapshelp.txt usr/share/man/ usr/share/man/man1/ usr/share/man/man1/abc2abc.1.gz usr/share/man/man1/abc2midi.1.gz usr/share/man/man1/abcmatch.1.gz usr/share/man/man1/mftext.1.gz usr/share/man/man1/midi2abc.1.gz usr/share/man/man1/midicopy.1.gz usr/share/man/man1/midistats.1.gz usr/share/man/man1/yaps.1.gz
aarch64abcpp1.4.6-4A preprocessor designed for, but not limited to, ABC music files
Close

abcpp 1.4.6-4


Architecture:aarch64
Base Package:abcpp (PKGBUILD)
Description:A preprocessor designed for, but not limited to, ABC music files
Upstream URL:https://abcplus.sourceforge.net/#abcpp
Groups:abc
pro-audio
Download Size:22.8 kB (Download)
Installed Size:84.1 kB
License:GPL2
Build Date:2022-12-06 12:04 UTC
Dependencies:glibc
Package Contents
usr/ usr/bin/ usr/bin/abcpp usr/share/ usr/share/abcpp/ usr/share/abcpp/fancyheader.abp usr/share/abcpp/italiano.abp usr/share/doc/ usr/share/doc/abcpp/ usr/share/doc/abcpp/examples/ usr/share/doc/abcpp/examples/Ave_Maria.abc usr/share/doc/abcpp/examples/Ave_Maria.abp usr/share/doc/abcpp/examples/doremi.abp usr/share/doc/abcpp/examples/my_defines.abp usr/share/doc/abcpp/examples/my_music.abp usr/share/doc/abcpp/examples/parts.abp usr/share/doc/abcpp/LEGGIMI.aft usr/share/doc/abcpp/README.aft
x86_64abcpp1.4.6-4A preprocessor designed for, but not limited to, ABC music files
Close

abcpp 1.4.6-4


Architecture:x86_64
Base Package:abcpp (PKGBUILD)
Description:A preprocessor designed for, but not limited to, ABC music files
Upstream URL:https://abcplus.sourceforge.net/#abcpp
Groups:abc
pro-audio
Download Size:27.6 kB (Download)
Installed Size:88.2 kB
License:GPL2
Build Date:2022-12-06 12:04 UTC
Dependencies:glibc
Package Contents
usr/ usr/bin/ usr/bin/abcpp usr/share/ usr/share/abcpp/ usr/share/abcpp/fancyheader.abp usr/share/abcpp/italiano.abp usr/share/doc/ usr/share/doc/abcpp/ usr/share/doc/abcpp/examples/ usr/share/doc/abcpp/examples/Ave_Maria.abc usr/share/doc/abcpp/examples/Ave_Maria.abp usr/share/doc/abcpp/examples/doremi.abp usr/share/doc/abcpp/examples/my_defines.abp usr/share/doc/abcpp/examples/my_music.abp usr/share/doc/abcpp/examples/parts.abp usr/share/doc/abcpp/LEGGIMI.aft usr/share/doc/abcpp/README.aft
aarch64abseil-cpp1120220623.1-2Common C++ libraries (legacy for C++11)
Close

abseil-cpp11 20220623.1-2


Architecture:aarch64
Base Package:abseil-cpp11 (PKGBUILD)
Description:Common C++ libraries (legacy for C++11)
Upstream URL:https://abseil.io
Download Size:933.8 kB (Download)
Installed Size:5.0 MB
License:Apache
Build Date:2024-01-21 15:37 UTC
Dependencies:gcc-libs
cmake (make)
gtest (make)
Package Contents
usr/ usr/include/ usr/include/abseil-cpp11/ usr/include/abseil-cpp11/absl/ usr/include/abseil-cpp11/absl/algorithm/ usr/include/abseil-cpp11/absl/algorithm/algorithm.h usr/include/abseil-cpp11/absl/algorithm/container.h usr/include/abseil-cpp11/absl/base/ usr/include/abseil-cpp11/absl/base/attributes.h usr/include/abseil-cpp11/absl/base/call_once.h usr/include/abseil-cpp11/absl/base/casts.h usr/include/abseil-cpp11/absl/base/config.h usr/include/abseil-cpp11/absl/base/const_init.h usr/include/abseil-cpp11/absl/base/dynamic_annotations.h usr/include/abseil-cpp11/absl/base/internal/ usr/include/abseil-cpp11/absl/base/internal/atomic_hook_test_helper.h usr/include/abseil-cpp11/absl/base/internal/atomic_hook.h usr/include/abseil-cpp11/absl/base/internal/cycleclock.h usr/include/abseil-cpp11/absl/base/internal/direct_mmap.h usr/include/abseil-cpp11/absl/base/internal/dynamic_annotations.h usr/include/abseil-cpp11/absl/base/internal/endian.h usr/include/abseil-cpp11/absl/base/internal/errno_saver.h usr/include/abseil-cpp11/absl/base/internal/exception_safety_testing.h usr/include/abseil-cpp11/absl/base/internal/exception_testing.h usr/include/abseil-cpp11/absl/base/internal/fast_type_id.h usr/include/abseil-cpp11/absl/base/internal/hide_ptr.h usr/include/abseil-cpp11/absl/base/internal/identity.h usr/include/abseil-cpp11/absl/base/internal/inline_variable_testing.h usr/include/abseil-cpp11/absl/base/internal/inline_variable.h usr/include/abseil-cpp11/absl/base/internal/invoke.h usr/include/abseil-cpp11/absl/base/internal/low_level_alloc.h usr/include/abseil-cpp11/absl/base/internal/low_level_scheduling.h usr/include/abseil-cpp11/absl/base/internal/per_thread_tls.h usr/include/abseil-cpp11/absl/base/internal/prefetch.h usr/include/abseil-cpp11/absl/base/internal/pretty_function.h usr/include/abseil-cpp11/absl/base/internal/raw_logging.h usr/include/abseil-cpp11/absl/base/internal/scheduling_mode.h usr/include/abseil-cpp11/absl/base/internal/scoped_set_env.h usr/include/abseil-cpp11/absl/base/internal/spinlock_akaros.inc usr/include/abseil-cpp11/absl/base/internal/spinlock_linux.inc usr/include/abseil-cpp11/absl/base/internal/spinlock_posix.inc usr/include/abseil-cpp11/absl/base/internal/spinlock_wait.h usr/include/abseil-cpp11/absl/base/internal/spinlock_win32.inc usr/include/abseil-cpp11/absl/base/internal/spinlock.h usr/include/abseil-cpp11/absl/base/internal/strerror.h usr/include/abseil-cpp11/absl/base/internal/sysinfo.h usr/include/abseil-cpp11/absl/base/internal/thread_annotations.h usr/include/abseil-cpp11/absl/base/internal/thread_identity.h usr/include/abseil-cpp11/absl/base/internal/throw_delegate.h usr/include/abseil-cpp11/absl/base/internal/tsan_mutex_interface.h usr/include/abseil-cpp11/absl/base/internal/unaligned_access.h usr/include/abseil-cpp11/absl/base/internal/unscaledcycleclock.h usr/include/abseil-cpp11/absl/base/log_severity.h usr/include/abseil-cpp11/absl/base/macros.h usr/include/abseil-cpp11/absl/base/optimization.h usr/include/abseil-cpp11/absl/base/options.h usr/include/abseil-cpp11/absl/base/policy_checks.h usr/include/abseil-cpp11/absl/base/port.h usr/include/abseil-cpp11/absl/base/thread_annotations.h usr/include/abseil-cpp11/absl/cleanup/ usr/include/abseil-cpp11/absl/cleanup/cleanup.h usr/include/abseil-cpp11/absl/cleanup/internal/ usr/include/abseil-cpp11/absl/cleanup/internal/cleanup.h usr/include/abseil-cpp11/absl/container/ usr/include/abseil-cpp11/absl/container/btree_map.h usr/include/abseil-cpp11/absl/container/btree_set.h usr/include/abseil-cpp11/absl/container/btree_test.h usr/include/abseil-cpp11/absl/container/fixed_array.h usr/include/abseil-cpp11/absl/container/flat_hash_map.h usr/include/abseil-cpp11/absl/container/flat_hash_set.h usr/include/abseil-cpp11/absl/container/inlined_vector.h usr/include/abseil-cpp11/absl/container/internal/ usr/include/abseil-cpp11/absl/container/internal/btree_container.h usr/include/abseil-cpp11/absl/container/internal/btree.h usr/include/abseil-cpp11/absl/container/internal/common.h usr/include/abseil-cpp11/absl/container/internal/compressed_tuple.h usr/include/abseil-cpp11/absl/container/internal/container_memory.h usr/include/abseil-cpp11/absl/container/internal/counting_allocator.h usr/include/abseil-cpp11/absl/container/internal/hash_function_defaults.h usr/include/abseil-cpp11/absl/container/internal/hash_generator_testing.h usr/include/abseil-cpp11/absl/container/internal/hash_policy_testing.h usr/include/abseil-cpp11/absl/container/internal/hash_policy_traits.h usr/include/abseil-cpp11/absl/container/internal/hashtable_debug_hooks.h usr/include/abseil-cpp11/absl/container/internal/hashtable_debug.h usr/include/abseil-cpp11/absl/container/internal/hashtablez_sampler.h usr/include/abseil-cpp11/absl/container/internal/inlined_vector.h usr/include/abseil-cpp11/absl/container/internal/layout.h usr/include/abseil-cpp11/absl/container/internal/node_slot_policy.h usr/include/abseil-cpp11/absl/container/internal/raw_hash_map.h usr/include/abseil-cpp11/absl/container/internal/raw_hash_set.h usr/include/abseil-cpp11/absl/container/internal/test_instance_tracker.h usr/include/abseil-cpp11/absl/container/internal/tracked.h usr/include/abseil-cpp11/absl/container/internal/unordered_map_constructor_test.h usr/include/abseil-cpp11/absl/container/internal/unordered_map_lookup_test.h usr/include/abseil-cpp11/absl/container/internal/unordered_map_members_test.h usr/include/abseil-cpp11/absl/container/internal/unordered_map_modifiers_test.h usr/include/abseil-cpp11/absl/container/internal/unordered_set_constructor_test.h usr/include/abseil-cpp11/absl/container/internal/unordered_set_lookup_test.h usr/include/abseil-cpp11/absl/container/internal/unordered_set_members_test.h usr/include/abseil-cpp11/absl/container/internal/unordered_set_modifiers_test.h usr/include/abseil-cpp11/absl/container/node_hash_map.h usr/include/abseil-cpp11/absl/container/node_hash_set.h usr/include/abseil-cpp11/absl/debugging/ usr/include/abseil-cpp11/absl/debugging/failure_signal_handler.h usr/include/abseil-cpp11/absl/debugging/internal/ usr/include/abseil-cpp11/absl/debugging/internal/address_is_readable.h usr/include/abseil-cpp11/absl/debugging/internal/demangle.h usr/include/abseil-cpp11/absl/debugging/internal/elf_mem_image.h usr/include/abseil-cpp11/absl/debugging/internal/examine_stack.h usr/include/abseil-cpp11/absl/debugging/internal/stack_consumption.h usr/include/abseil-cpp11/absl/debugging/internal/stacktrace_aarch64-inl.inc usr/include/abseil-cpp11/absl/debugging/internal/stacktrace_arm-inl.inc usr/include/abseil-cpp11/absl/debugging/internal/stacktrace_config.h usr/include/abseil-cpp11/absl/debugging/internal/stacktrace_emscripten-inl.inc usr/include/abseil-cpp11/absl/debugging/internal/stacktrace_generic-inl.inc usr/include/abseil-cpp11/absl/debugging/internal/stacktrace_powerpc-inl.inc usr/include/abseil-cpp11/absl/debugging/internal/stacktrace_riscv-inl.inc usr/include/abseil-cpp11/absl/debugging/internal/stacktrace_unimplemented-inl.inc usr/include/abseil-cpp11/absl/debugging/internal/stacktrace_win32-inl.inc usr/include/abseil-cpp11/absl/debugging/internal/stacktrace_x86-inl.inc usr/include/abseil-cpp11/absl/debugging/internal/symbolize.h usr/include/abseil-cpp11/absl/debugging/internal/vdso_support.h usr/include/abseil-cpp11/absl/debugging/leak_check.h usr/include/abseil-cpp11/absl/debugging/stacktrace.h usr/include/abseil-cpp11/absl/debugging/symbolize_darwin.inc usr/include/abseil-cpp11/absl/debugging/symbolize_elf.inc usr/include/abseil-cpp11/absl/debugging/symbolize_emscripten.inc usr/include/abseil-cpp11/absl/debugging/symbolize_unimplemented.inc usr/include/abseil-cpp11/absl/debugging/symbolize_win32.inc usr/include/abseil-cpp11/absl/debugging/symbolize.h usr/include/abseil-cpp11/absl/flags/ usr/include/abseil-cpp11/absl/flags/commandlineflag.h usr/include/abseil-cpp11/absl/flags/config.h usr/include/abseil-cpp11/absl/flags/declare.h usr/include/abseil-cpp11/absl/flags/flag.h usr/include/abseil-cpp11/absl/flags/internal/ usr/include/abseil-cpp11/absl/flags/internal/commandlineflag.h usr/include/abseil-cpp11/absl/flags/internal/flag_msvc.inc usr/include/abseil-cpp11/absl/flags/internal/flag.h usr/include/abseil-cpp11/absl/flags/internal/parse.h usr/include/abseil-cpp11/absl/flags/internal/path_util.h usr/include/abseil-cpp11/absl/flags/internal/private_handle_accessor.h usr/include/abseil-cpp11/absl/flags/internal/program_name.h usr/include/abseil-cpp11/absl/flags/internal/registry.h usr/include/abseil-cpp11/absl/flags/internal/sequence_lock.h usr/include/abseil-cpp11/absl/flags/internal/usage.h usr/include/abseil-cpp11/absl/flags/marshalling.h usr/include/abseil-cpp11/absl/flags/parse.h usr/include/abseil-cpp11/absl/flags/reflection.h usr/include/abseil-cpp11/absl/flags/usage_config.h usr/include/abseil-cpp11/absl/flags/usage.h usr/include/abseil-cpp11/absl/functional/ usr/include/abseil-cpp11/absl/functional/any_invocable.h usr/include/abseil-cpp11/absl/functional/bind_front.h usr/include/abseil-cpp11/absl/functional/function_ref.h usr/include/abseil-cpp11/absl/functional/internal/ usr/include/abseil-cpp11/absl/functional/internal/any_invocable.h usr/include/abseil-cpp11/absl/functional/internal/front_binder.h usr/include/abseil-cpp11/absl/functional/internal/function_ref.h usr/include/abseil-cpp11/absl/hash/ usr/include/abseil-cpp11/absl/hash/hash_testing.h usr/include/abseil-cpp11/absl/hash/hash.h usr/include/abseil-cpp11/absl/hash/internal/ usr/include/abseil-cpp11/absl/hash/internal/city.h usr/include/abseil-cpp11/absl/hash/internal/hash.h usr/include/abseil-cpp11/absl/hash/internal/low_level_hash.h usr/include/abseil-cpp11/absl/hash/internal/spy_hash_state.h usr/include/abseil-cpp11/absl/memory/ usr/include/abseil-cpp11/absl/memory/memory.h usr/include/abseil-cpp11/absl/meta/ usr/include/abseil-cpp11/absl/meta/type_traits.h usr/include/abseil-cpp11/absl/numeric/ usr/include/abseil-cpp11/absl/numeric/bits.h usr/include/abseil-cpp11/absl/numeric/int128_have_intrinsic.inc usr/include/abseil-cpp11/absl/numeric/int128_no_intrinsic.inc usr/include/abseil-cpp11/absl/numeric/int128.h usr/include/abseil-cpp11/absl/numeric/internal/ usr/include/abseil-cpp11/absl/numeric/internal/bits.h usr/include/abseil-cpp11/absl/numeric/internal/representation.h usr/include/abseil-cpp11/absl/profiling/ usr/include/abseil-cpp11/absl/profiling/internal/ usr/include/abseil-cpp11/absl/profiling/internal/exponential_biased.h usr/include/abseil-cpp11/absl/profiling/internal/periodic_sampler.h usr/include/abseil-cpp11/absl/profiling/internal/sample_recorder.h usr/include/abseil-cpp11/absl/random/ usr/include/abseil-cpp11/absl/random/bernoulli_distribution.h usr/include/abseil-cpp11/absl/random/beta_distribution.h usr/include/abseil-cpp11/absl/random/bit_gen_ref.h usr/include/abseil-cpp11/absl/random/discrete_distribution.h usr/include/abseil-cpp11/absl/random/distributions.h usr/include/abseil-cpp11/absl/random/exponential_distribution.h usr/include/abseil-cpp11/absl/random/gaussian_distribution.h usr/include/abseil-cpp11/absl/random/internal/ usr/include/abseil-cpp11/absl/random/internal/chi_square.h usr/include/abseil-cpp11/absl/random/internal/distribution_caller.h usr/include/abseil-cpp11/absl/random/internal/distribution_test_util.h usr/include/abseil-cpp11/absl/random/internal/explicit_seed_seq.h usr/include/abseil-cpp11/absl/random/internal/fast_uniform_bits.h usr/include/abseil-cpp11/absl/random/internal/fastmath.h usr/include/abseil-cpp11/absl/random/internal/generate_real.h usr/include/abseil-cpp11/absl/random/internal/iostream_state_saver.h usr/include/abseil-cpp11/absl/random/internal/mock_helpers.h usr/include/abseil-cpp11/absl/random/internal/mock_overload_set.h usr/include/abseil-cpp11/absl/random/internal/nanobenchmark.h usr/include/abseil-cpp11/absl/random/internal/nonsecure_base.h usr/include/abseil-cpp11/absl/random/internal/pcg_engine.h usr/include/abseil-cpp11/absl/random/internal/platform.h usr/include/abseil-cpp11/absl/random/internal/pool_urbg.h usr/include/abseil-cpp11/absl/random/internal/randen_detect.h usr/include/abseil-cpp11/absl/random/internal/randen_engine.h usr/include/abseil-cpp11/absl/random/internal/randen_hwaes.h usr/include/abseil-cpp11/absl/random/internal/randen_slow.h usr/include/abseil-cpp11/absl/random/internal/randen_traits.h usr/include/abseil-cpp11/absl/random/internal/randen.h usr/include/abseil-cpp11/absl/random/internal/salted_seed_seq.h usr/include/abseil-cpp11/absl/random/internal/seed_material.h usr/include/abseil-cpp11/absl/random/internal/sequence_urbg.h usr/include/abseil-cpp11/absl/random/internal/traits.h usr/include/abseil-cpp11/absl/random/internal/uniform_helper.h usr/include/abseil-cpp11/absl/random/internal/wide_multiply.h usr/include/abseil-cpp11/absl/random/log_uniform_int_distribution.h usr/include/abseil-cpp11/absl/random/mock_distributions.h usr/include/abseil-cpp11/absl/random/mocking_bit_gen.h usr/include/abseil-cpp11/absl/random/poisson_distribution.h usr/include/abseil-cpp11/absl/random/random.h usr/include/abseil-cpp11/absl/random/seed_gen_exception.h usr/include/abseil-cpp11/absl/random/seed_sequences.h usr/include/abseil-cpp11/absl/random/uniform_int_distribution.h usr/include/abseil-cpp11/absl/random/uniform_real_distribution.h usr/include/abseil-cpp11/absl/random/zipf_distribution.h usr/include/abseil-cpp11/absl/status/ usr/include/abseil-cpp11/absl/status/internal/ usr/include/abseil-cpp11/absl/status/internal/status_internal.h usr/include/abseil-cpp11/absl/status/internal/statusor_internal.h usr/include/abseil-cpp11/absl/status/status_payload_printer.h usr/include/abseil-cpp11/absl/status/status.h usr/include/abseil-cpp11/absl/status/statusor.h usr/include/abseil-cpp11/absl/strings/ usr/include/abseil-cpp11/absl/strings/ascii.h usr/include/abseil-cpp11/absl/strings/charconv.h usr/include/abseil-cpp11/absl/strings/cord_analysis.h usr/include/abseil-cpp11/absl/strings/cord_buffer.h usr/include/abseil-cpp11/absl/strings/cord_test_helpers.h usr/include/abseil-cpp11/absl/strings/cord.h usr/include/abseil-cpp11/absl/strings/cordz_test_helpers.h usr/include/abseil-cpp11/absl/strings/escaping.h usr/include/abseil-cpp11/absl/strings/internal/ usr/include/abseil-cpp11/absl/strings/internal/char_map.h usr/include/abseil-cpp11/absl/strings/internal/charconv_bigint.h usr/include/abseil-cpp11/absl/strings/internal/charconv_parse.h usr/include/abseil-cpp11/absl/strings/internal/cord_data_edge.h usr/include/abseil-cpp11/absl/strings/internal/cord_internal.h usr/include/abseil-cpp11/absl/strings/internal/cord_rep_btree_navigator.h usr/include/abseil-cpp11/absl/strings/internal/cord_rep_btree_reader.h usr/include/abseil-cpp11/absl/strings/internal/cord_rep_btree.h usr/include/abseil-cpp11/absl/strings/internal/cord_rep_consume.h usr/include/abseil-cpp11/absl/strings/internal/cord_rep_crc.h usr/include/abseil-cpp11/absl/strings/internal/cord_rep_flat.h usr/include/abseil-cpp11/absl/strings/internal/cord_rep_ring_reader.h usr/include/abseil-cpp11/absl/strings/internal/cord_rep_ring.h usr/include/abseil-cpp11/absl/strings/internal/cord_rep_test_util.h usr/include/abseil-cpp11/absl/strings/internal/cordz_functions.h usr/include/abseil-cpp11/absl/strings/internal/cordz_handle.h usr/include/abseil-cpp11/absl/strings/internal/cordz_info.h usr/include/abseil-cpp11/absl/strings/internal/cordz_sample_token.h usr/include/abseil-cpp11/absl/strings/internal/cordz_statistics.h usr/include/abseil-cpp11/absl/strings/internal/cordz_update_scope.h usr/include/abseil-cpp11/absl/strings/internal/cordz_update_tracker.h usr/include/abseil-cpp11/absl/strings/internal/escaping_test_common.h usr/include/abseil-cpp11/absl/strings/internal/escaping.h usr/include/abseil-cpp11/absl/strings/internal/memutil.h usr/include/abseil-cpp11/absl/strings/internal/numbers_test_common.h usr/include/abseil-cpp11/absl/strings/internal/ostringstream.h usr/include/abseil-cpp11/absl/strings/internal/pow10_helper.h usr/include/abseil-cpp11/absl/strings/internal/resize_uninitialized.h usr/include/abseil-cpp11/absl/strings/internal/stl_type_traits.h usr/include/abseil-cpp11/absl/strings/internal/str_format/ usr/include/abseil-cpp11/absl/strings/internal/str_format/arg.h usr/include/abseil-cpp11/absl/strings/internal/str_format/bind.h usr/include/abseil-cpp11/absl/strings/internal/str_format/checker.h usr/include/abseil-cpp11/absl/strings/internal/str_format/extension.h usr/include/abseil-cpp11/absl/strings/internal/str_format/float_conversion.h usr/include/abseil-cpp11/absl/strings/internal/str_format/output.h usr/include/abseil-cpp11/absl/strings/internal/str_format/parser.h usr/include/abseil-cpp11/absl/strings/internal/str_join_internal.h usr/include/abseil-cpp11/absl/strings/internal/str_split_internal.h usr/include/abseil-cpp11/absl/strings/internal/string_constant.h usr/include/abseil-cpp11/absl/strings/internal/utf8.h usr/include/abseil-cpp11/absl/strings/match.h usr/include/abseil-cpp11/absl/strings/numbers.h usr/include/abseil-cpp11/absl/strings/str_cat.h usr/include/abseil-cpp11/absl/strings/str_format.h usr/include/abseil-cpp11/absl/strings/str_join.h usr/include/abseil-cpp11/absl/strings/str_replace.h usr/include/abseil-cpp11/absl/strings/str_split.h usr/include/abseil-cpp11/absl/strings/string_view.h usr/include/abseil-cpp11/absl/strings/strip.h usr/include/abseil-cpp11/absl/strings/substitute.h usr/include/abseil-cpp11/absl/synchronization/ usr/include/abseil-cpp11/absl/synchronization/barrier.h usr/include/abseil-cpp11/absl/synchronization/blocking_counter.h usr/include/abseil-cpp11/absl/synchronization/internal/ usr/include/abseil-cpp11/absl/synchronization/internal/create_thread_identity.h usr/include/abseil-cpp11/absl/synchronization/internal/futex.h usr/include/abseil-cpp11/absl/synchronization/internal/graphcycles.h usr/include/abseil-cpp11/absl/synchronization/internal/kernel_timeout.h usr/include/abseil-cpp11/absl/synchronization/internal/per_thread_sem.h usr/include/abseil-cpp11/absl/synchronization/internal/thread_pool.h usr/include/abseil-cpp11/absl/synchronization/internal/waiter.h usr/include/abseil-cpp11/absl/synchronization/mutex.h usr/include/abseil-cpp11/absl/synchronization/notification.h usr/include/abseil-cpp11/absl/time/ usr/include/abseil-cpp11/absl/time/civil_time.h usr/include/abseil-cpp11/absl/time/clock.h usr/include/abseil-cpp11/absl/time/internal/ usr/include/abseil-cpp11/absl/time/internal/cctz/ usr/include/abseil-cpp11/absl/time/internal/cctz/include/ usr/include/abseil-cpp11/absl/time/internal/cctz/include/cctz/ usr/include/abseil-cpp11/absl/time/internal/cctz/include/cctz/civil_time_detail.h usr/include/abseil-cpp11/absl/time/internal/cctz/include/cctz/civil_time.h usr/include/abseil-cpp11/absl/time/internal/cctz/include/cctz/time_zone.h usr/include/abseil-cpp11/absl/time/internal/cctz/include/cctz/zone_info_source.h usr/include/abseil-cpp11/absl/time/internal/cctz/src/ usr/include/abseil-cpp11/absl/time/internal/cctz/src/time_zone_fixed.h usr/include/abseil-cpp11/absl/time/internal/cctz/src/time_zone_if.h usr/include/abseil-cpp11/absl/time/internal/cctz/src/time_zone_impl.h usr/include/abseil-cpp11/absl/time/internal/cctz/src/time_zone_info.h usr/include/abseil-cpp11/absl/time/internal/cctz/src/time_zone_libc.h usr/include/abseil-cpp11/absl/time/internal/cctz/src/time_zone_posix.h usr/include/abseil-cpp11/absl/time/internal/cctz/src/tzfile.h usr/include/abseil-cpp11/absl/time/internal/get_current_time_chrono.inc usr/include/abseil-cpp11/absl/time/internal/get_current_time_posix.inc usr/include/abseil-cpp11/absl/time/internal/test_util.h usr/include/abseil-cpp11/absl/time/internal/zoneinfo.inc usr/include/abseil-cpp11/absl/time/time.h usr/include/abseil-cpp11/absl/types/ usr/include/abseil-cpp11/absl/types/any.h usr/include/abseil-cpp11/absl/types/bad_any_cast.h usr/include/abseil-cpp11/absl/types/bad_optional_access.h usr/include/abseil-cpp11/absl/types/bad_variant_access.h usr/include/abseil-cpp11/absl/types/compare.h usr/include/abseil-cpp11/absl/types/internal/ usr/include/abseil-cpp11/absl/types/internal/conformance_aliases.h usr/include/abseil-cpp11/absl/types/internal/conformance_archetype.h usr/include/abseil-cpp11/absl/types/internal/conformance_profile.h usr/include/abseil-cpp11/absl/types/internal/conformance_testing_helpers.h usr/include/abseil-cpp11/absl/types/internal/conformance_testing.h usr/include/abseil-cpp11/absl/types/internal/optional.h usr/include/abseil-cpp11/absl/types/internal/parentheses.h usr/include/abseil-cpp11/absl/types/internal/span.h usr/include/abseil-cpp11/absl/types/internal/transform_args.h usr/include/abseil-cpp11/absl/types/internal/variant.h usr/include/abseil-cpp11/absl/types/optional.h usr/include/abseil-cpp11/absl/types/span.h usr/include/abseil-cpp11/absl/types/variant.h usr/include/abseil-cpp11/absl/utility/ usr/include/abseil-cpp11/absl/utility/utility.h usr/lib/ usr/lib/abseil-cpp11/ usr/lib/abseil-cpp11/cmake/ usr/lib/abseil-cpp11/cmake/absl/ usr/lib/abseil-cpp11/cmake/absl/abslConfig.cmake usr/lib/abseil-cpp11/cmake/absl/abslConfigVersion.cmake usr/lib/abseil-cpp11/cmake/absl/abslTargets-relwithdebinfo.cmake usr/lib/abseil-cpp11/cmake/absl/abslTargets.cmake usr/lib/abseil-cpp11/libabsl_bad_any_cast_impl.so usr/lib/abseil-cpp11/libabsl_bad_any_cast_impl.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_bad_optional_access.so usr/lib/abseil-cpp11/libabsl_bad_optional_access.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_bad_variant_access.so usr/lib/abseil-cpp11/libabsl_bad_variant_access.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_base.so usr/lib/abseil-cpp11/libabsl_base.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_city.so usr/lib/abseil-cpp11/libabsl_city.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_civil_time.so usr/lib/abseil-cpp11/libabsl_civil_time.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_cord_internal.so usr/lib/abseil-cpp11/libabsl_cord_internal.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_cord.so usr/lib/abseil-cpp11/libabsl_cord.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_cordz_functions.so usr/lib/abseil-cpp11/libabsl_cordz_functions.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_cordz_handle.so usr/lib/abseil-cpp11/libabsl_cordz_handle.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_cordz_info.so usr/lib/abseil-cpp11/libabsl_cordz_info.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_cordz_sample_token.so usr/lib/abseil-cpp11/libabsl_cordz_sample_token.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_debugging_internal.so usr/lib/abseil-cpp11/libabsl_debugging_internal.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_demangle_internal.so usr/lib/abseil-cpp11/libabsl_demangle_internal.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_examine_stack.so usr/lib/abseil-cpp11/libabsl_examine_stack.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_exponential_biased.so usr/lib/abseil-cpp11/libabsl_exponential_biased.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_failure_signal_handler.so usr/lib/abseil-cpp11/libabsl_failure_signal_handler.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags_commandlineflag_internal.so usr/lib/abseil-cpp11/libabsl_flags_commandlineflag_internal.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags_commandlineflag.so usr/lib/abseil-cpp11/libabsl_flags_commandlineflag.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags_config.so usr/lib/abseil-cpp11/libabsl_flags_config.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags_internal.so usr/lib/abseil-cpp11/libabsl_flags_internal.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags_marshalling.so usr/lib/abseil-cpp11/libabsl_flags_marshalling.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags_parse.so usr/lib/abseil-cpp11/libabsl_flags_parse.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags_private_handle_accessor.so usr/lib/abseil-cpp11/libabsl_flags_private_handle_accessor.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags_program_name.so usr/lib/abseil-cpp11/libabsl_flags_program_name.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags_reflection.so usr/lib/abseil-cpp11/libabsl_flags_reflection.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags_usage_internal.so usr/lib/abseil-cpp11/libabsl_flags_usage_internal.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags_usage.so usr/lib/abseil-cpp11/libabsl_flags_usage.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags.so usr/lib/abseil-cpp11/libabsl_flags.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_graphcycles_internal.so usr/lib/abseil-cpp11/libabsl_graphcycles_internal.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_hash.so usr/lib/abseil-cpp11/libabsl_hash.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_hashtablez_sampler.so usr/lib/abseil-cpp11/libabsl_hashtablez_sampler.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_int128.so usr/lib/abseil-cpp11/libabsl_int128.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_leak_check.so usr/lib/abseil-cpp11/libabsl_leak_check.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_log_severity.so usr/lib/abseil-cpp11/libabsl_log_severity.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_low_level_hash.so usr/lib/abseil-cpp11/libabsl_low_level_hash.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_malloc_internal.so usr/lib/abseil-cpp11/libabsl_malloc_internal.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_periodic_sampler.so usr/lib/abseil-cpp11/libabsl_periodic_sampler.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_random_distributions.so usr/lib/abseil-cpp11/libabsl_random_distributions.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_random_internal_distribution_test_util.so usr/lib/abseil-cpp11/libabsl_random_internal_distribution_test_util.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_random_internal_platform.so usr/lib/abseil-cpp11/libabsl_random_internal_platform.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_random_internal_pool_urbg.so usr/lib/abseil-cpp11/libabsl_random_internal_pool_urbg.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_random_internal_randen_hwaes_impl.so usr/lib/abseil-cpp11/libabsl_random_internal_randen_hwaes_impl.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_random_internal_randen_hwaes.so usr/lib/abseil-cpp11/libabsl_random_internal_randen_hwaes.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_random_internal_randen_slow.so usr/lib/abseil-cpp11/libabsl_random_internal_randen_slow.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_random_internal_randen.so usr/lib/abseil-cpp11/libabsl_random_internal_randen.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_random_internal_seed_material.so usr/lib/abseil-cpp11/libabsl_random_internal_seed_material.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_random_seed_gen_exception.so usr/lib/abseil-cpp11/libabsl_random_seed_gen_exception.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_random_seed_sequences.so usr/lib/abseil-cpp11/libabsl_random_seed_sequences.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_raw_hash_set.so usr/lib/abseil-cpp11/libabsl_raw_hash_set.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_raw_logging_internal.so usr/lib/abseil-cpp11/libabsl_raw_logging_internal.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_scoped_set_env.so usr/lib/abseil-cpp11/libabsl_scoped_set_env.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_spinlock_wait.so usr/lib/abseil-cpp11/libabsl_spinlock_wait.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_stacktrace.so usr/lib/abseil-cpp11/libabsl_stacktrace.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_status.so usr/lib/abseil-cpp11/libabsl_status.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_statusor.so usr/lib/abseil-cpp11/libabsl_statusor.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_str_format_internal.so usr/lib/abseil-cpp11/libabsl_str_format_internal.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_strerror.so usr/lib/abseil-cpp11/libabsl_strerror.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_strings_internal.so usr/lib/abseil-cpp11/libabsl_strings_internal.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_strings.so usr/lib/abseil-cpp11/libabsl_strings.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_symbolize.so usr/lib/abseil-cpp11/libabsl_symbolize.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_synchronization.so usr/lib/abseil-cpp11/libabsl_synchronization.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_throw_delegate.so usr/lib/abseil-cpp11/libabsl_throw_delegate.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_time_zone.so usr/lib/abseil-cpp11/libabsl_time_zone.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_time.so usr/lib/abseil-cpp11/libabsl_time.so.2206.0.0 usr/lib/abseil-cpp11/pkgconfig/ usr/lib/abseil-cpp11/pkgconfig/absl_algorithm_container.pc usr/lib/abseil-cpp11/pkgconfig/absl_algorithm.pc usr/lib/abseil-cpp11/pkgconfig/absl_any_invocable.pc usr/lib/abseil-cpp11/pkgconfig/absl_any.pc usr/lib/abseil-cpp11/pkgconfig/absl_atomic_hook.pc usr/lib/abseil-cpp11/pkgconfig/absl_bad_any_cast_impl.pc usr/lib/abseil-cpp11/pkgconfig/absl_bad_any_cast.pc usr/lib/abseil-cpp11/pkgconfig/absl_bad_optional_access.pc usr/lib/abseil-cpp11/pkgconfig/absl_bad_variant_access.pc usr/lib/abseil-cpp11/pkgconfig/absl_base_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_base.pc usr/lib/abseil-cpp11/pkgconfig/absl_bind_front.pc usr/lib/abseil-cpp11/pkgconfig/absl_bits.pc usr/lib/abseil-cpp11/pkgconfig/absl_btree.pc usr/lib/abseil-cpp11/pkgconfig/absl_city.pc usr/lib/abseil-cpp11/pkgconfig/absl_civil_time.pc usr/lib/abseil-cpp11/pkgconfig/absl_cleanup_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_cleanup.pc usr/lib/abseil-cpp11/pkgconfig/absl_compare.pc usr/lib/abseil-cpp11/pkgconfig/absl_compressed_tuple.pc usr/lib/abseil-cpp11/pkgconfig/absl_config.pc usr/lib/abseil-cpp11/pkgconfig/absl_container_common.pc usr/lib/abseil-cpp11/pkgconfig/absl_container_memory.pc usr/lib/abseil-cpp11/pkgconfig/absl_cord_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_cord.pc usr/lib/abseil-cpp11/pkgconfig/absl_cordz_functions.pc usr/lib/abseil-cpp11/pkgconfig/absl_cordz_handle.pc usr/lib/abseil-cpp11/pkgconfig/absl_cordz_info.pc usr/lib/abseil-cpp11/pkgconfig/absl_cordz_sample_token.pc usr/lib/abseil-cpp11/pkgconfig/absl_cordz_statistics.pc usr/lib/abseil-cpp11/pkgconfig/absl_cordz_update_scope.pc usr/lib/abseil-cpp11/pkgconfig/absl_cordz_update_tracker.pc usr/lib/abseil-cpp11/pkgconfig/absl_core_headers.pc usr/lib/abseil-cpp11/pkgconfig/absl_counting_allocator.pc usr/lib/abseil-cpp11/pkgconfig/absl_debugging_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_debugging.pc usr/lib/abseil-cpp11/pkgconfig/absl_demangle_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_dynamic_annotations.pc usr/lib/abseil-cpp11/pkgconfig/absl_endian.pc usr/lib/abseil-cpp11/pkgconfig/absl_errno_saver.pc usr/lib/abseil-cpp11/pkgconfig/absl_examine_stack.pc usr/lib/abseil-cpp11/pkgconfig/absl_exponential_biased.pc usr/lib/abseil-cpp11/pkgconfig/absl_failure_signal_handler.pc usr/lib/abseil-cpp11/pkgconfig/absl_fast_type_id.pc usr/lib/abseil-cpp11/pkgconfig/absl_fixed_array.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_commandlineflag_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_commandlineflag.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_config.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_marshalling.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_parse.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_path_util.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_private_handle_accessor.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_program_name.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_reflection.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_usage_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_usage.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags.pc usr/lib/abseil-cpp11/pkgconfig/absl_flat_hash_map.pc usr/lib/abseil-cpp11/pkgconfig/absl_flat_hash_set.pc usr/lib/abseil-cpp11/pkgconfig/absl_function_ref.pc usr/lib/abseil-cpp11/pkgconfig/absl_graphcycles_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_hash_function_defaults.pc usr/lib/abseil-cpp11/pkgconfig/absl_hash_policy_traits.pc usr/lib/abseil-cpp11/pkgconfig/absl_hash.pc usr/lib/abseil-cpp11/pkgconfig/absl_hashtable_debug_hooks.pc usr/lib/abseil-cpp11/pkgconfig/absl_hashtable_debug.pc usr/lib/abseil-cpp11/pkgconfig/absl_hashtablez_sampler.pc usr/lib/abseil-cpp11/pkgconfig/absl_inlined_vector_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_inlined_vector.pc usr/lib/abseil-cpp11/pkgconfig/absl_int128.pc usr/lib/abseil-cpp11/pkgconfig/absl_kernel_timeout_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_layout.pc usr/lib/abseil-cpp11/pkgconfig/absl_leak_check.pc usr/lib/abseil-cpp11/pkgconfig/absl_log_severity.pc usr/lib/abseil-cpp11/pkgconfig/absl_low_level_hash.pc usr/lib/abseil-cpp11/pkgconfig/absl_malloc_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_memory.pc usr/lib/abseil-cpp11/pkgconfig/absl_meta.pc usr/lib/abseil-cpp11/pkgconfig/absl_node_hash_map.pc usr/lib/abseil-cpp11/pkgconfig/absl_node_hash_set.pc usr/lib/abseil-cpp11/pkgconfig/absl_node_slot_policy.pc usr/lib/abseil-cpp11/pkgconfig/absl_numeric_representation.pc usr/lib/abseil-cpp11/pkgconfig/absl_numeric.pc usr/lib/abseil-cpp11/pkgconfig/absl_optional.pc usr/lib/abseil-cpp11/pkgconfig/absl_periodic_sampler.pc usr/lib/abseil-cpp11/pkgconfig/absl_prefetch.pc usr/lib/abseil-cpp11/pkgconfig/absl_pretty_function.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_bit_gen_ref.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_distributions.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_distribution_caller.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_distribution_test_util.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_fast_uniform_bits.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_fastmath.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_generate_real.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_iostream_state_saver.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_mock_helpers.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_nonsecure_base.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_pcg_engine.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_platform.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_pool_urbg.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_randen_engine.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_randen_hwaes_impl.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_randen_hwaes.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_randen_slow.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_randen.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_salted_seed_seq.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_seed_material.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_traits.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_uniform_helper.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_wide_multiply.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_random.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_seed_gen_exception.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_seed_sequences.pc usr/lib/abseil-cpp11/pkgconfig/absl_raw_hash_map.pc usr/lib/abseil-cpp11/pkgconfig/absl_raw_hash_set.pc usr/lib/abseil-cpp11/pkgconfig/absl_raw_logging_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_sample_recorder.pc usr/lib/abseil-cpp11/pkgconfig/absl_scoped_set_env.pc usr/lib/abseil-cpp11/pkgconfig/absl_span.pc usr/lib/abseil-cpp11/pkgconfig/absl_spinlock_wait.pc usr/lib/abseil-cpp11/pkgconfig/absl_stacktrace.pc usr/lib/abseil-cpp11/pkgconfig/absl_status.pc usr/lib/abseil-cpp11/pkgconfig/absl_statusor.pc usr/lib/abseil-cpp11/pkgconfig/absl_str_format_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_str_format.pc usr/lib/abseil-cpp11/pkgconfig/absl_strerror.pc usr/lib/abseil-cpp11/pkgconfig/absl_strings_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_strings.pc usr/lib/abseil-cpp11/pkgconfig/absl_symbolize.pc usr/lib/abseil-cpp11/pkgconfig/absl_synchronization.pc usr/lib/abseil-cpp11/pkgconfig/absl_throw_delegate.pc usr/lib/abseil-cpp11/pkgconfig/absl_time_zone.pc usr/lib/abseil-cpp11/pkgconfig/absl_time.pc usr/lib/abseil-cpp11/pkgconfig/absl_type_traits.pc usr/lib/abseil-cpp11/pkgconfig/absl_utility.pc usr/lib/abseil-cpp11/pkgconfig/absl_variant.pc
x86_64abseil-cpp1120220623.1-2Common C++ libraries (legacy for C++11)
Close

abseil-cpp11 20220623.1-2


Architecture:x86_64
Base Package:abseil-cpp11 (PKGBUILD)
Description:Common C++ libraries (legacy for C++11)
Upstream URL:https://abseil.io
Download Size:1.2 MB (Download)
Installed Size:5.3 MB
License:Apache
Build Date:2024-01-21 15:37 UTC
Dependencies:gcc-libs
cmake (make)
gtest (make)
Package Contents
usr/ usr/include/ usr/include/abseil-cpp11/ usr/include/abseil-cpp11/absl/ usr/include/abseil-cpp11/absl/algorithm/ usr/include/abseil-cpp11/absl/algorithm/algorithm.h usr/include/abseil-cpp11/absl/algorithm/container.h usr/include/abseil-cpp11/absl/base/ usr/include/abseil-cpp11/absl/base/attributes.h usr/include/abseil-cpp11/absl/base/call_once.h usr/include/abseil-cpp11/absl/base/casts.h usr/include/abseil-cpp11/absl/base/config.h usr/include/abseil-cpp11/absl/base/const_init.h usr/include/abseil-cpp11/absl/base/dynamic_annotations.h usr/include/abseil-cpp11/absl/base/internal/ usr/include/abseil-cpp11/absl/base/internal/atomic_hook_test_helper.h usr/include/abseil-cpp11/absl/base/internal/atomic_hook.h usr/include/abseil-cpp11/absl/base/internal/cycleclock.h usr/include/abseil-cpp11/absl/base/internal/direct_mmap.h usr/include/abseil-cpp11/absl/base/internal/dynamic_annotations.h usr/include/abseil-cpp11/absl/base/internal/endian.h usr/include/abseil-cpp11/absl/base/internal/errno_saver.h usr/include/abseil-cpp11/absl/base/internal/exception_safety_testing.h usr/include/abseil-cpp11/absl/base/internal/exception_testing.h usr/include/abseil-cpp11/absl/base/internal/fast_type_id.h usr/include/abseil-cpp11/absl/base/internal/hide_ptr.h usr/include/abseil-cpp11/absl/base/internal/identity.h usr/include/abseil-cpp11/absl/base/internal/inline_variable_testing.h usr/include/abseil-cpp11/absl/base/internal/inline_variable.h usr/include/abseil-cpp11/absl/base/internal/invoke.h usr/include/abseil-cpp11/absl/base/internal/low_level_alloc.h usr/include/abseil-cpp11/absl/base/internal/low_level_scheduling.h usr/include/abseil-cpp11/absl/base/internal/per_thread_tls.h usr/include/abseil-cpp11/absl/base/internal/prefetch.h usr/include/abseil-cpp11/absl/base/internal/pretty_function.h usr/include/abseil-cpp11/absl/base/internal/raw_logging.h usr/include/abseil-cpp11/absl/base/internal/scheduling_mode.h usr/include/abseil-cpp11/absl/base/internal/scoped_set_env.h usr/include/abseil-cpp11/absl/base/internal/spinlock_akaros.inc usr/include/abseil-cpp11/absl/base/internal/spinlock_linux.inc usr/include/abseil-cpp11/absl/base/internal/spinlock_posix.inc usr/include/abseil-cpp11/absl/base/internal/spinlock_wait.h usr/include/abseil-cpp11/absl/base/internal/spinlock_win32.inc usr/include/abseil-cpp11/absl/base/internal/spinlock.h usr/include/abseil-cpp11/absl/base/internal/strerror.h usr/include/abseil-cpp11/absl/base/internal/sysinfo.h usr/include/abseil-cpp11/absl/base/internal/thread_annotations.h usr/include/abseil-cpp11/absl/base/internal/thread_identity.h usr/include/abseil-cpp11/absl/base/internal/throw_delegate.h usr/include/abseil-cpp11/absl/base/internal/tsan_mutex_interface.h usr/include/abseil-cpp11/absl/base/internal/unaligned_access.h usr/include/abseil-cpp11/absl/base/internal/unscaledcycleclock.h usr/include/abseil-cpp11/absl/base/log_severity.h usr/include/abseil-cpp11/absl/base/macros.h usr/include/abseil-cpp11/absl/base/optimization.h usr/include/abseil-cpp11/absl/base/options.h usr/include/abseil-cpp11/absl/base/policy_checks.h usr/include/abseil-cpp11/absl/base/port.h usr/include/abseil-cpp11/absl/base/thread_annotations.h usr/include/abseil-cpp11/absl/cleanup/ usr/include/abseil-cpp11/absl/cleanup/cleanup.h usr/include/abseil-cpp11/absl/cleanup/internal/ usr/include/abseil-cpp11/absl/cleanup/internal/cleanup.h usr/include/abseil-cpp11/absl/container/ usr/include/abseil-cpp11/absl/container/btree_map.h usr/include/abseil-cpp11/absl/container/btree_set.h usr/include/abseil-cpp11/absl/container/btree_test.h usr/include/abseil-cpp11/absl/container/fixed_array.h usr/include/abseil-cpp11/absl/container/flat_hash_map.h usr/include/abseil-cpp11/absl/container/flat_hash_set.h usr/include/abseil-cpp11/absl/container/inlined_vector.h usr/include/abseil-cpp11/absl/container/internal/ usr/include/abseil-cpp11/absl/container/internal/btree_container.h usr/include/abseil-cpp11/absl/container/internal/btree.h usr/include/abseil-cpp11/absl/container/internal/common.h usr/include/abseil-cpp11/absl/container/internal/compressed_tuple.h usr/include/abseil-cpp11/absl/container/internal/container_memory.h usr/include/abseil-cpp11/absl/container/internal/counting_allocator.h usr/include/abseil-cpp11/absl/container/internal/hash_function_defaults.h usr/include/abseil-cpp11/absl/container/internal/hash_generator_testing.h usr/include/abseil-cpp11/absl/container/internal/hash_policy_testing.h usr/include/abseil-cpp11/absl/container/internal/hash_policy_traits.h usr/include/abseil-cpp11/absl/container/internal/hashtable_debug_hooks.h usr/include/abseil-cpp11/absl/container/internal/hashtable_debug.h usr/include/abseil-cpp11/absl/container/internal/hashtablez_sampler.h usr/include/abseil-cpp11/absl/container/internal/inlined_vector.h usr/include/abseil-cpp11/absl/container/internal/layout.h usr/include/abseil-cpp11/absl/container/internal/node_slot_policy.h usr/include/abseil-cpp11/absl/container/internal/raw_hash_map.h usr/include/abseil-cpp11/absl/container/internal/raw_hash_set.h usr/include/abseil-cpp11/absl/container/internal/test_instance_tracker.h usr/include/abseil-cpp11/absl/container/internal/tracked.h usr/include/abseil-cpp11/absl/container/internal/unordered_map_constructor_test.h usr/include/abseil-cpp11/absl/container/internal/unordered_map_lookup_test.h usr/include/abseil-cpp11/absl/container/internal/unordered_map_members_test.h usr/include/abseil-cpp11/absl/container/internal/unordered_map_modifiers_test.h usr/include/abseil-cpp11/absl/container/internal/unordered_set_constructor_test.h usr/include/abseil-cpp11/absl/container/internal/unordered_set_lookup_test.h usr/include/abseil-cpp11/absl/container/internal/unordered_set_members_test.h usr/include/abseil-cpp11/absl/container/internal/unordered_set_modifiers_test.h usr/include/abseil-cpp11/absl/container/node_hash_map.h usr/include/abseil-cpp11/absl/container/node_hash_set.h usr/include/abseil-cpp11/absl/debugging/ usr/include/abseil-cpp11/absl/debugging/failure_signal_handler.h usr/include/abseil-cpp11/absl/debugging/internal/ usr/include/abseil-cpp11/absl/debugging/internal/address_is_readable.h usr/include/abseil-cpp11/absl/debugging/internal/demangle.h usr/include/abseil-cpp11/absl/debugging/internal/elf_mem_image.h usr/include/abseil-cpp11/absl/debugging/internal/examine_stack.h usr/include/abseil-cpp11/absl/debugging/internal/stack_consumption.h usr/include/abseil-cpp11/absl/debugging/internal/stacktrace_aarch64-inl.inc usr/include/abseil-cpp11/absl/debugging/internal/stacktrace_arm-inl.inc usr/include/abseil-cpp11/absl/debugging/internal/stacktrace_config.h usr/include/abseil-cpp11/absl/debugging/internal/stacktrace_emscripten-inl.inc usr/include/abseil-cpp11/absl/debugging/internal/stacktrace_generic-inl.inc usr/include/abseil-cpp11/absl/debugging/internal/stacktrace_powerpc-inl.inc usr/include/abseil-cpp11/absl/debugging/internal/stacktrace_riscv-inl.inc usr/include/abseil-cpp11/absl/debugging/internal/stacktrace_unimplemented-inl.inc usr/include/abseil-cpp11/absl/debugging/internal/stacktrace_win32-inl.inc usr/include/abseil-cpp11/absl/debugging/internal/stacktrace_x86-inl.inc usr/include/abseil-cpp11/absl/debugging/internal/symbolize.h usr/include/abseil-cpp11/absl/debugging/internal/vdso_support.h usr/include/abseil-cpp11/absl/debugging/leak_check.h usr/include/abseil-cpp11/absl/debugging/stacktrace.h usr/include/abseil-cpp11/absl/debugging/symbolize_darwin.inc usr/include/abseil-cpp11/absl/debugging/symbolize_elf.inc usr/include/abseil-cpp11/absl/debugging/symbolize_emscripten.inc usr/include/abseil-cpp11/absl/debugging/symbolize_unimplemented.inc usr/include/abseil-cpp11/absl/debugging/symbolize_win32.inc usr/include/abseil-cpp11/absl/debugging/symbolize.h usr/include/abseil-cpp11/absl/flags/ usr/include/abseil-cpp11/absl/flags/commandlineflag.h usr/include/abseil-cpp11/absl/flags/config.h usr/include/abseil-cpp11/absl/flags/declare.h usr/include/abseil-cpp11/absl/flags/flag.h usr/include/abseil-cpp11/absl/flags/internal/ usr/include/abseil-cpp11/absl/flags/internal/commandlineflag.h usr/include/abseil-cpp11/absl/flags/internal/flag_msvc.inc usr/include/abseil-cpp11/absl/flags/internal/flag.h usr/include/abseil-cpp11/absl/flags/internal/parse.h usr/include/abseil-cpp11/absl/flags/internal/path_util.h usr/include/abseil-cpp11/absl/flags/internal/private_handle_accessor.h usr/include/abseil-cpp11/absl/flags/internal/program_name.h usr/include/abseil-cpp11/absl/flags/internal/registry.h usr/include/abseil-cpp11/absl/flags/internal/sequence_lock.h usr/include/abseil-cpp11/absl/flags/internal/usage.h usr/include/abseil-cpp11/absl/flags/marshalling.h usr/include/abseil-cpp11/absl/flags/parse.h usr/include/abseil-cpp11/absl/flags/reflection.h usr/include/abseil-cpp11/absl/flags/usage_config.h usr/include/abseil-cpp11/absl/flags/usage.h usr/include/abseil-cpp11/absl/functional/ usr/include/abseil-cpp11/absl/functional/any_invocable.h usr/include/abseil-cpp11/absl/functional/bind_front.h usr/include/abseil-cpp11/absl/functional/function_ref.h usr/include/abseil-cpp11/absl/functional/internal/ usr/include/abseil-cpp11/absl/functional/internal/any_invocable.h usr/include/abseil-cpp11/absl/functional/internal/front_binder.h usr/include/abseil-cpp11/absl/functional/internal/function_ref.h usr/include/abseil-cpp11/absl/hash/ usr/include/abseil-cpp11/absl/hash/hash_testing.h usr/include/abseil-cpp11/absl/hash/hash.h usr/include/abseil-cpp11/absl/hash/internal/ usr/include/abseil-cpp11/absl/hash/internal/city.h usr/include/abseil-cpp11/absl/hash/internal/hash.h usr/include/abseil-cpp11/absl/hash/internal/low_level_hash.h usr/include/abseil-cpp11/absl/hash/internal/spy_hash_state.h usr/include/abseil-cpp11/absl/memory/ usr/include/abseil-cpp11/absl/memory/memory.h usr/include/abseil-cpp11/absl/meta/ usr/include/abseil-cpp11/absl/meta/type_traits.h usr/include/abseil-cpp11/absl/numeric/ usr/include/abseil-cpp11/absl/numeric/bits.h usr/include/abseil-cpp11/absl/numeric/int128_have_intrinsic.inc usr/include/abseil-cpp11/absl/numeric/int128_no_intrinsic.inc usr/include/abseil-cpp11/absl/numeric/int128.h usr/include/abseil-cpp11/absl/numeric/internal/ usr/include/abseil-cpp11/absl/numeric/internal/bits.h usr/include/abseil-cpp11/absl/numeric/internal/representation.h usr/include/abseil-cpp11/absl/profiling/ usr/include/abseil-cpp11/absl/profiling/internal/ usr/include/abseil-cpp11/absl/profiling/internal/exponential_biased.h usr/include/abseil-cpp11/absl/profiling/internal/periodic_sampler.h usr/include/abseil-cpp11/absl/profiling/internal/sample_recorder.h usr/include/abseil-cpp11/absl/random/ usr/include/abseil-cpp11/absl/random/bernoulli_distribution.h usr/include/abseil-cpp11/absl/random/beta_distribution.h usr/include/abseil-cpp11/absl/random/bit_gen_ref.h usr/include/abseil-cpp11/absl/random/discrete_distribution.h usr/include/abseil-cpp11/absl/random/distributions.h usr/include/abseil-cpp11/absl/random/exponential_distribution.h usr/include/abseil-cpp11/absl/random/gaussian_distribution.h usr/include/abseil-cpp11/absl/random/internal/ usr/include/abseil-cpp11/absl/random/internal/chi_square.h usr/include/abseil-cpp11/absl/random/internal/distribution_caller.h usr/include/abseil-cpp11/absl/random/internal/distribution_test_util.h usr/include/abseil-cpp11/absl/random/internal/explicit_seed_seq.h usr/include/abseil-cpp11/absl/random/internal/fast_uniform_bits.h usr/include/abseil-cpp11/absl/random/internal/fastmath.h usr/include/abseil-cpp11/absl/random/internal/generate_real.h usr/include/abseil-cpp11/absl/random/internal/iostream_state_saver.h usr/include/abseil-cpp11/absl/random/internal/mock_helpers.h usr/include/abseil-cpp11/absl/random/internal/mock_overload_set.h usr/include/abseil-cpp11/absl/random/internal/nanobenchmark.h usr/include/abseil-cpp11/absl/random/internal/nonsecure_base.h usr/include/abseil-cpp11/absl/random/internal/pcg_engine.h usr/include/abseil-cpp11/absl/random/internal/platform.h usr/include/abseil-cpp11/absl/random/internal/pool_urbg.h usr/include/abseil-cpp11/absl/random/internal/randen_detect.h usr/include/abseil-cpp11/absl/random/internal/randen_engine.h usr/include/abseil-cpp11/absl/random/internal/randen_hwaes.h usr/include/abseil-cpp11/absl/random/internal/randen_slow.h usr/include/abseil-cpp11/absl/random/internal/randen_traits.h usr/include/abseil-cpp11/absl/random/internal/randen.h usr/include/abseil-cpp11/absl/random/internal/salted_seed_seq.h usr/include/abseil-cpp11/absl/random/internal/seed_material.h usr/include/abseil-cpp11/absl/random/internal/sequence_urbg.h usr/include/abseil-cpp11/absl/random/internal/traits.h usr/include/abseil-cpp11/absl/random/internal/uniform_helper.h usr/include/abseil-cpp11/absl/random/internal/wide_multiply.h usr/include/abseil-cpp11/absl/random/log_uniform_int_distribution.h usr/include/abseil-cpp11/absl/random/mock_distributions.h usr/include/abseil-cpp11/absl/random/mocking_bit_gen.h usr/include/abseil-cpp11/absl/random/poisson_distribution.h usr/include/abseil-cpp11/absl/random/random.h usr/include/abseil-cpp11/absl/random/seed_gen_exception.h usr/include/abseil-cpp11/absl/random/seed_sequences.h usr/include/abseil-cpp11/absl/random/uniform_int_distribution.h usr/include/abseil-cpp11/absl/random/uniform_real_distribution.h usr/include/abseil-cpp11/absl/random/zipf_distribution.h usr/include/abseil-cpp11/absl/status/ usr/include/abseil-cpp11/absl/status/internal/ usr/include/abseil-cpp11/absl/status/internal/status_internal.h usr/include/abseil-cpp11/absl/status/internal/statusor_internal.h usr/include/abseil-cpp11/absl/status/status_payload_printer.h usr/include/abseil-cpp11/absl/status/status.h usr/include/abseil-cpp11/absl/status/statusor.h usr/include/abseil-cpp11/absl/strings/ usr/include/abseil-cpp11/absl/strings/ascii.h usr/include/abseil-cpp11/absl/strings/charconv.h usr/include/abseil-cpp11/absl/strings/cord_analysis.h usr/include/abseil-cpp11/absl/strings/cord_buffer.h usr/include/abseil-cpp11/absl/strings/cord_test_helpers.h usr/include/abseil-cpp11/absl/strings/cord.h usr/include/abseil-cpp11/absl/strings/cordz_test_helpers.h usr/include/abseil-cpp11/absl/strings/escaping.h usr/include/abseil-cpp11/absl/strings/internal/ usr/include/abseil-cpp11/absl/strings/internal/char_map.h usr/include/abseil-cpp11/absl/strings/internal/charconv_bigint.h usr/include/abseil-cpp11/absl/strings/internal/charconv_parse.h usr/include/abseil-cpp11/absl/strings/internal/cord_data_edge.h usr/include/abseil-cpp11/absl/strings/internal/cord_internal.h usr/include/abseil-cpp11/absl/strings/internal/cord_rep_btree_navigator.h usr/include/abseil-cpp11/absl/strings/internal/cord_rep_btree_reader.h usr/include/abseil-cpp11/absl/strings/internal/cord_rep_btree.h usr/include/abseil-cpp11/absl/strings/internal/cord_rep_consume.h usr/include/abseil-cpp11/absl/strings/internal/cord_rep_crc.h usr/include/abseil-cpp11/absl/strings/internal/cord_rep_flat.h usr/include/abseil-cpp11/absl/strings/internal/cord_rep_ring_reader.h usr/include/abseil-cpp11/absl/strings/internal/cord_rep_ring.h usr/include/abseil-cpp11/absl/strings/internal/cord_rep_test_util.h usr/include/abseil-cpp11/absl/strings/internal/cordz_functions.h usr/include/abseil-cpp11/absl/strings/internal/cordz_handle.h usr/include/abseil-cpp11/absl/strings/internal/cordz_info.h usr/include/abseil-cpp11/absl/strings/internal/cordz_sample_token.h usr/include/abseil-cpp11/absl/strings/internal/cordz_statistics.h usr/include/abseil-cpp11/absl/strings/internal/cordz_update_scope.h usr/include/abseil-cpp11/absl/strings/internal/cordz_update_tracker.h usr/include/abseil-cpp11/absl/strings/internal/escaping_test_common.h usr/include/abseil-cpp11/absl/strings/internal/escaping.h usr/include/abseil-cpp11/absl/strings/internal/memutil.h usr/include/abseil-cpp11/absl/strings/internal/numbers_test_common.h usr/include/abseil-cpp11/absl/strings/internal/ostringstream.h usr/include/abseil-cpp11/absl/strings/internal/pow10_helper.h usr/include/abseil-cpp11/absl/strings/internal/resize_uninitialized.h usr/include/abseil-cpp11/absl/strings/internal/stl_type_traits.h usr/include/abseil-cpp11/absl/strings/internal/str_format/ usr/include/abseil-cpp11/absl/strings/internal/str_format/arg.h usr/include/abseil-cpp11/absl/strings/internal/str_format/bind.h usr/include/abseil-cpp11/absl/strings/internal/str_format/checker.h usr/include/abseil-cpp11/absl/strings/internal/str_format/extension.h usr/include/abseil-cpp11/absl/strings/internal/str_format/float_conversion.h usr/include/abseil-cpp11/absl/strings/internal/str_format/output.h usr/include/abseil-cpp11/absl/strings/internal/str_format/parser.h usr/include/abseil-cpp11/absl/strings/internal/str_join_internal.h usr/include/abseil-cpp11/absl/strings/internal/str_split_internal.h usr/include/abseil-cpp11/absl/strings/internal/string_constant.h usr/include/abseil-cpp11/absl/strings/internal/utf8.h usr/include/abseil-cpp11/absl/strings/match.h usr/include/abseil-cpp11/absl/strings/numbers.h usr/include/abseil-cpp11/absl/strings/str_cat.h usr/include/abseil-cpp11/absl/strings/str_format.h usr/include/abseil-cpp11/absl/strings/str_join.h usr/include/abseil-cpp11/absl/strings/str_replace.h usr/include/abseil-cpp11/absl/strings/str_split.h usr/include/abseil-cpp11/absl/strings/string_view.h usr/include/abseil-cpp11/absl/strings/strip.h usr/include/abseil-cpp11/absl/strings/substitute.h usr/include/abseil-cpp11/absl/synchronization/ usr/include/abseil-cpp11/absl/synchronization/barrier.h usr/include/abseil-cpp11/absl/synchronization/blocking_counter.h usr/include/abseil-cpp11/absl/synchronization/internal/ usr/include/abseil-cpp11/absl/synchronization/internal/create_thread_identity.h usr/include/abseil-cpp11/absl/synchronization/internal/futex.h usr/include/abseil-cpp11/absl/synchronization/internal/graphcycles.h usr/include/abseil-cpp11/absl/synchronization/internal/kernel_timeout.h usr/include/abseil-cpp11/absl/synchronization/internal/per_thread_sem.h usr/include/abseil-cpp11/absl/synchronization/internal/thread_pool.h usr/include/abseil-cpp11/absl/synchronization/internal/waiter.h usr/include/abseil-cpp11/absl/synchronization/mutex.h usr/include/abseil-cpp11/absl/synchronization/notification.h usr/include/abseil-cpp11/absl/time/ usr/include/abseil-cpp11/absl/time/civil_time.h usr/include/abseil-cpp11/absl/time/clock.h usr/include/abseil-cpp11/absl/time/internal/ usr/include/abseil-cpp11/absl/time/internal/cctz/ usr/include/abseil-cpp11/absl/time/internal/cctz/include/ usr/include/abseil-cpp11/absl/time/internal/cctz/include/cctz/ usr/include/abseil-cpp11/absl/time/internal/cctz/include/cctz/civil_time_detail.h usr/include/abseil-cpp11/absl/time/internal/cctz/include/cctz/civil_time.h usr/include/abseil-cpp11/absl/time/internal/cctz/include/cctz/time_zone.h usr/include/abseil-cpp11/absl/time/internal/cctz/include/cctz/zone_info_source.h usr/include/abseil-cpp11/absl/time/internal/cctz/src/ usr/include/abseil-cpp11/absl/time/internal/cctz/src/time_zone_fixed.h usr/include/abseil-cpp11/absl/time/internal/cctz/src/time_zone_if.h usr/include/abseil-cpp11/absl/time/internal/cctz/src/time_zone_impl.h usr/include/abseil-cpp11/absl/time/internal/cctz/src/time_zone_info.h usr/include/abseil-cpp11/absl/time/internal/cctz/src/time_zone_libc.h usr/include/abseil-cpp11/absl/time/internal/cctz/src/time_zone_posix.h usr/include/abseil-cpp11/absl/time/internal/cctz/src/tzfile.h usr/include/abseil-cpp11/absl/time/internal/get_current_time_chrono.inc usr/include/abseil-cpp11/absl/time/internal/get_current_time_posix.inc usr/include/abseil-cpp11/absl/time/internal/test_util.h usr/include/abseil-cpp11/absl/time/internal/zoneinfo.inc usr/include/abseil-cpp11/absl/time/time.h usr/include/abseil-cpp11/absl/types/ usr/include/abseil-cpp11/absl/types/any.h usr/include/abseil-cpp11/absl/types/bad_any_cast.h usr/include/abseil-cpp11/absl/types/bad_optional_access.h usr/include/abseil-cpp11/absl/types/bad_variant_access.h usr/include/abseil-cpp11/absl/types/compare.h usr/include/abseil-cpp11/absl/types/internal/ usr/include/abseil-cpp11/absl/types/internal/conformance_aliases.h usr/include/abseil-cpp11/absl/types/internal/conformance_archetype.h usr/include/abseil-cpp11/absl/types/internal/conformance_profile.h usr/include/abseil-cpp11/absl/types/internal/conformance_testing_helpers.h usr/include/abseil-cpp11/absl/types/internal/conformance_testing.h usr/include/abseil-cpp11/absl/types/internal/optional.h usr/include/abseil-cpp11/absl/types/internal/parentheses.h usr/include/abseil-cpp11/absl/types/internal/span.h usr/include/abseil-cpp11/absl/types/internal/transform_args.h usr/include/abseil-cpp11/absl/types/internal/variant.h usr/include/abseil-cpp11/absl/types/optional.h usr/include/abseil-cpp11/absl/types/span.h usr/include/abseil-cpp11/absl/types/variant.h usr/include/abseil-cpp11/absl/utility/ usr/include/abseil-cpp11/absl/utility/utility.h usr/lib/ usr/lib/abseil-cpp11/ usr/lib/abseil-cpp11/cmake/ usr/lib/abseil-cpp11/cmake/absl/ usr/lib/abseil-cpp11/cmake/absl/abslConfig.cmake usr/lib/abseil-cpp11/cmake/absl/abslConfigVersion.cmake usr/lib/abseil-cpp11/cmake/absl/abslTargets-relwithdebinfo.cmake usr/lib/abseil-cpp11/cmake/absl/abslTargets.cmake usr/lib/abseil-cpp11/libabsl_bad_any_cast_impl.so usr/lib/abseil-cpp11/libabsl_bad_any_cast_impl.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_bad_optional_access.so usr/lib/abseil-cpp11/libabsl_bad_optional_access.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_bad_variant_access.so usr/lib/abseil-cpp11/libabsl_bad_variant_access.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_base.so usr/lib/abseil-cpp11/libabsl_base.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_city.so usr/lib/abseil-cpp11/libabsl_city.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_civil_time.so usr/lib/abseil-cpp11/libabsl_civil_time.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_cord_internal.so usr/lib/abseil-cpp11/libabsl_cord_internal.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_cord.so usr/lib/abseil-cpp11/libabsl_cord.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_cordz_functions.so usr/lib/abseil-cpp11/libabsl_cordz_functions.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_cordz_handle.so usr/lib/abseil-cpp11/libabsl_cordz_handle.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_cordz_info.so usr/lib/abseil-cpp11/libabsl_cordz_info.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_cordz_sample_token.so usr/lib/abseil-cpp11/libabsl_cordz_sample_token.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_debugging_internal.so usr/lib/abseil-cpp11/libabsl_debugging_internal.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_demangle_internal.so usr/lib/abseil-cpp11/libabsl_demangle_internal.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_examine_stack.so usr/lib/abseil-cpp11/libabsl_examine_stack.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_exponential_biased.so usr/lib/abseil-cpp11/libabsl_exponential_biased.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_failure_signal_handler.so usr/lib/abseil-cpp11/libabsl_failure_signal_handler.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags_commandlineflag_internal.so usr/lib/abseil-cpp11/libabsl_flags_commandlineflag_internal.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags_commandlineflag.so usr/lib/abseil-cpp11/libabsl_flags_commandlineflag.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags_config.so usr/lib/abseil-cpp11/libabsl_flags_config.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags_internal.so usr/lib/abseil-cpp11/libabsl_flags_internal.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags_marshalling.so usr/lib/abseil-cpp11/libabsl_flags_marshalling.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags_parse.so usr/lib/abseil-cpp11/libabsl_flags_parse.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags_private_handle_accessor.so usr/lib/abseil-cpp11/libabsl_flags_private_handle_accessor.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags_program_name.so usr/lib/abseil-cpp11/libabsl_flags_program_name.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags_reflection.so usr/lib/abseil-cpp11/libabsl_flags_reflection.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags_usage_internal.so usr/lib/abseil-cpp11/libabsl_flags_usage_internal.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags_usage.so usr/lib/abseil-cpp11/libabsl_flags_usage.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_flags.so usr/lib/abseil-cpp11/libabsl_flags.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_graphcycles_internal.so usr/lib/abseil-cpp11/libabsl_graphcycles_internal.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_hash.so usr/lib/abseil-cpp11/libabsl_hash.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_hashtablez_sampler.so usr/lib/abseil-cpp11/libabsl_hashtablez_sampler.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_int128.so usr/lib/abseil-cpp11/libabsl_int128.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_leak_check.so usr/lib/abseil-cpp11/libabsl_leak_check.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_log_severity.so usr/lib/abseil-cpp11/libabsl_log_severity.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_low_level_hash.so usr/lib/abseil-cpp11/libabsl_low_level_hash.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_malloc_internal.so usr/lib/abseil-cpp11/libabsl_malloc_internal.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_periodic_sampler.so usr/lib/abseil-cpp11/libabsl_periodic_sampler.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_random_distributions.so usr/lib/abseil-cpp11/libabsl_random_distributions.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_random_internal_distribution_test_util.so usr/lib/abseil-cpp11/libabsl_random_internal_distribution_test_util.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_random_internal_platform.so usr/lib/abseil-cpp11/libabsl_random_internal_platform.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_random_internal_pool_urbg.so usr/lib/abseil-cpp11/libabsl_random_internal_pool_urbg.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_random_internal_randen_hwaes_impl.so usr/lib/abseil-cpp11/libabsl_random_internal_randen_hwaes_impl.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_random_internal_randen_hwaes.so usr/lib/abseil-cpp11/libabsl_random_internal_randen_hwaes.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_random_internal_randen_slow.so usr/lib/abseil-cpp11/libabsl_random_internal_randen_slow.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_random_internal_randen.so usr/lib/abseil-cpp11/libabsl_random_internal_randen.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_random_internal_seed_material.so usr/lib/abseil-cpp11/libabsl_random_internal_seed_material.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_random_seed_gen_exception.so usr/lib/abseil-cpp11/libabsl_random_seed_gen_exception.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_random_seed_sequences.so usr/lib/abseil-cpp11/libabsl_random_seed_sequences.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_raw_hash_set.so usr/lib/abseil-cpp11/libabsl_raw_hash_set.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_raw_logging_internal.so usr/lib/abseil-cpp11/libabsl_raw_logging_internal.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_scoped_set_env.so usr/lib/abseil-cpp11/libabsl_scoped_set_env.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_spinlock_wait.so usr/lib/abseil-cpp11/libabsl_spinlock_wait.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_stacktrace.so usr/lib/abseil-cpp11/libabsl_stacktrace.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_status.so usr/lib/abseil-cpp11/libabsl_status.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_statusor.so usr/lib/abseil-cpp11/libabsl_statusor.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_str_format_internal.so usr/lib/abseil-cpp11/libabsl_str_format_internal.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_strerror.so usr/lib/abseil-cpp11/libabsl_strerror.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_strings_internal.so usr/lib/abseil-cpp11/libabsl_strings_internal.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_strings.so usr/lib/abseil-cpp11/libabsl_strings.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_symbolize.so usr/lib/abseil-cpp11/libabsl_symbolize.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_synchronization.so usr/lib/abseil-cpp11/libabsl_synchronization.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_throw_delegate.so usr/lib/abseil-cpp11/libabsl_throw_delegate.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_time_zone.so usr/lib/abseil-cpp11/libabsl_time_zone.so.2206.0.0 usr/lib/abseil-cpp11/libabsl_time.so usr/lib/abseil-cpp11/libabsl_time.so.2206.0.0 usr/lib/abseil-cpp11/pkgconfig/ usr/lib/abseil-cpp11/pkgconfig/absl_algorithm_container.pc usr/lib/abseil-cpp11/pkgconfig/absl_algorithm.pc usr/lib/abseil-cpp11/pkgconfig/absl_any_invocable.pc usr/lib/abseil-cpp11/pkgconfig/absl_any.pc usr/lib/abseil-cpp11/pkgconfig/absl_atomic_hook.pc usr/lib/abseil-cpp11/pkgconfig/absl_bad_any_cast_impl.pc usr/lib/abseil-cpp11/pkgconfig/absl_bad_any_cast.pc usr/lib/abseil-cpp11/pkgconfig/absl_bad_optional_access.pc usr/lib/abseil-cpp11/pkgconfig/absl_bad_variant_access.pc usr/lib/abseil-cpp11/pkgconfig/absl_base_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_base.pc usr/lib/abseil-cpp11/pkgconfig/absl_bind_front.pc usr/lib/abseil-cpp11/pkgconfig/absl_bits.pc usr/lib/abseil-cpp11/pkgconfig/absl_btree.pc usr/lib/abseil-cpp11/pkgconfig/absl_city.pc usr/lib/abseil-cpp11/pkgconfig/absl_civil_time.pc usr/lib/abseil-cpp11/pkgconfig/absl_cleanup_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_cleanup.pc usr/lib/abseil-cpp11/pkgconfig/absl_compare.pc usr/lib/abseil-cpp11/pkgconfig/absl_compressed_tuple.pc usr/lib/abseil-cpp11/pkgconfig/absl_config.pc usr/lib/abseil-cpp11/pkgconfig/absl_container_common.pc usr/lib/abseil-cpp11/pkgconfig/absl_container_memory.pc usr/lib/abseil-cpp11/pkgconfig/absl_cord_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_cord.pc usr/lib/abseil-cpp11/pkgconfig/absl_cordz_functions.pc usr/lib/abseil-cpp11/pkgconfig/absl_cordz_handle.pc usr/lib/abseil-cpp11/pkgconfig/absl_cordz_info.pc usr/lib/abseil-cpp11/pkgconfig/absl_cordz_sample_token.pc usr/lib/abseil-cpp11/pkgconfig/absl_cordz_statistics.pc usr/lib/abseil-cpp11/pkgconfig/absl_cordz_update_scope.pc usr/lib/abseil-cpp11/pkgconfig/absl_cordz_update_tracker.pc usr/lib/abseil-cpp11/pkgconfig/absl_core_headers.pc usr/lib/abseil-cpp11/pkgconfig/absl_counting_allocator.pc usr/lib/abseil-cpp11/pkgconfig/absl_debugging_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_debugging.pc usr/lib/abseil-cpp11/pkgconfig/absl_demangle_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_dynamic_annotations.pc usr/lib/abseil-cpp11/pkgconfig/absl_endian.pc usr/lib/abseil-cpp11/pkgconfig/absl_errno_saver.pc usr/lib/abseil-cpp11/pkgconfig/absl_examine_stack.pc usr/lib/abseil-cpp11/pkgconfig/absl_exponential_biased.pc usr/lib/abseil-cpp11/pkgconfig/absl_failure_signal_handler.pc usr/lib/abseil-cpp11/pkgconfig/absl_fast_type_id.pc usr/lib/abseil-cpp11/pkgconfig/absl_fixed_array.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_commandlineflag_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_commandlineflag.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_config.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_marshalling.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_parse.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_path_util.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_private_handle_accessor.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_program_name.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_reflection.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_usage_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags_usage.pc usr/lib/abseil-cpp11/pkgconfig/absl_flags.pc usr/lib/abseil-cpp11/pkgconfig/absl_flat_hash_map.pc usr/lib/abseil-cpp11/pkgconfig/absl_flat_hash_set.pc usr/lib/abseil-cpp11/pkgconfig/absl_function_ref.pc usr/lib/abseil-cpp11/pkgconfig/absl_graphcycles_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_hash_function_defaults.pc usr/lib/abseil-cpp11/pkgconfig/absl_hash_policy_traits.pc usr/lib/abseil-cpp11/pkgconfig/absl_hash.pc usr/lib/abseil-cpp11/pkgconfig/absl_hashtable_debug_hooks.pc usr/lib/abseil-cpp11/pkgconfig/absl_hashtable_debug.pc usr/lib/abseil-cpp11/pkgconfig/absl_hashtablez_sampler.pc usr/lib/abseil-cpp11/pkgconfig/absl_inlined_vector_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_inlined_vector.pc usr/lib/abseil-cpp11/pkgconfig/absl_int128.pc usr/lib/abseil-cpp11/pkgconfig/absl_kernel_timeout_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_layout.pc usr/lib/abseil-cpp11/pkgconfig/absl_leak_check.pc usr/lib/abseil-cpp11/pkgconfig/absl_log_severity.pc usr/lib/abseil-cpp11/pkgconfig/absl_low_level_hash.pc usr/lib/abseil-cpp11/pkgconfig/absl_malloc_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_memory.pc usr/lib/abseil-cpp11/pkgconfig/absl_meta.pc usr/lib/abseil-cpp11/pkgconfig/absl_node_hash_map.pc usr/lib/abseil-cpp11/pkgconfig/absl_node_hash_set.pc usr/lib/abseil-cpp11/pkgconfig/absl_node_slot_policy.pc usr/lib/abseil-cpp11/pkgconfig/absl_numeric_representation.pc usr/lib/abseil-cpp11/pkgconfig/absl_numeric.pc usr/lib/abseil-cpp11/pkgconfig/absl_optional.pc usr/lib/abseil-cpp11/pkgconfig/absl_periodic_sampler.pc usr/lib/abseil-cpp11/pkgconfig/absl_prefetch.pc usr/lib/abseil-cpp11/pkgconfig/absl_pretty_function.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_bit_gen_ref.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_distributions.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_distribution_caller.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_distribution_test_util.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_fast_uniform_bits.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_fastmath.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_generate_real.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_iostream_state_saver.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_mock_helpers.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_nonsecure_base.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_pcg_engine.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_platform.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_pool_urbg.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_randen_engine.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_randen_hwaes_impl.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_randen_hwaes.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_randen_slow.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_randen.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_salted_seed_seq.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_seed_material.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_traits.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_uniform_helper.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_internal_wide_multiply.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_random.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_seed_gen_exception.pc usr/lib/abseil-cpp11/pkgconfig/absl_random_seed_sequences.pc usr/lib/abseil-cpp11/pkgconfig/absl_raw_hash_map.pc usr/lib/abseil-cpp11/pkgconfig/absl_raw_hash_set.pc usr/lib/abseil-cpp11/pkgconfig/absl_raw_logging_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_sample_recorder.pc usr/lib/abseil-cpp11/pkgconfig/absl_scoped_set_env.pc usr/lib/abseil-cpp11/pkgconfig/absl_span.pc usr/lib/abseil-cpp11/pkgconfig/absl_spinlock_wait.pc usr/lib/abseil-cpp11/pkgconfig/absl_stacktrace.pc usr/lib/abseil-cpp11/pkgconfig/absl_status.pc usr/lib/abseil-cpp11/pkgconfig/absl_statusor.pc usr/lib/abseil-cpp11/pkgconfig/absl_str_format_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_str_format.pc usr/lib/abseil-cpp11/pkgconfig/absl_strerror.pc usr/lib/abseil-cpp11/pkgconfig/absl_strings_internal.pc usr/lib/abseil-cpp11/pkgconfig/absl_strings.pc usr/lib/abseil-cpp11/pkgconfig/absl_symbolize.pc usr/lib/abseil-cpp11/pkgconfig/absl_synchronization.pc usr/lib/abseil-cpp11/pkgconfig/absl_throw_delegate.pc usr/lib/abseil-cpp11/pkgconfig/absl_time_zone.pc usr/lib/abseil-cpp11/pkgconfig/absl_time.pc usr/lib/abseil-cpp11/pkgconfig/absl_type_traits.pc usr/lib/abseil-cpp11/pkgconfig/absl_utility.pc usr/lib/abseil-cpp11/pkgconfig/absl_variant.pc
aarch64aether.lv21.2.1-2An algorithmic reverb LV2 plugin based on Cloudseed
Close

aether.lv2 1.2.1-2


Architecture:aarch64
Base Package:aether.lv2 (PKGBUILD)
Description:An algorithmic reverb LV2 plugin based on Cloudseed
Upstream URL:https://dougal-s.github.io/Aether
Groups:lv2-plugins
pro-audio
Download Size:570.3 kB (Download)
Installed Size:1.1 MB
License:MIT
Build Date:2022-06-13 21:01 UTC
Dependencies:gcc-libs
libglvnd
libx11
ttf-roboto
lv2-host: for running the plugin (optional)
cmake (make)
glu (make)
md4c (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/aether.lv2/ usr/lib/lv2/aether.lv2/aether_dsp.so usr/lib/lv2/aether.lv2/aether_ui.so usr/lib/lv2/aether.lv2/aether.ttl usr/lib/lv2/aether.lv2/fonts/ usr/lib/lv2/aether.lv2/fonts/Roboto-Light.ttf usr/lib/lv2/aether.lv2/fonts/Roboto-Regular.ttf usr/lib/lv2/aether.lv2/manifest.ttl usr/share/ usr/share/doc/ usr/share/doc/aether.lv2/ usr/share/doc/aether.lv2/dry-predelay.png usr/share/doc/aether.lv2/dry-wet.png usr/share/doc/aether.lv2/early-reflections.png usr/share/doc/aether.lv2/index.html usr/share/doc/aether.lv2/interpolate.png usr/share/doc/aether.lv2/late-reverberations.png usr/share/doc/aether.lv2/screenshot.png usr/share/doc/aether.lv2/seeds.png usr/share/doc/aether.lv2/spectrum-analyser.png usr/share/doc/aether.lv2/USERMANUAL.md usr/share/licenses/ usr/share/licenses/aether.lv2/ usr/share/licenses/aether.lv2/LICENSE.md
x86_64aether.lv21.2.1-2An algorithmic reverb LV2 plugin based on Cloudseed
Close

aether.lv2 1.2.1-2


Architecture:x86_64
Base Package:aether.lv2 (PKGBUILD)
Description:An algorithmic reverb LV2 plugin based on Cloudseed
Upstream URL:https://dougal-s.github.io/Aether
Groups:lv2-plugins
pro-audio
Download Size:693.1 kB (Download)
Installed Size:1.2 MB
License:MIT
Build Date:2022-06-13 21:01 UTC
Dependencies:gcc-libs
libglvnd
libx11
ttf-roboto
lv2-host: for running the plugin (optional)
cmake (make)
glu (make)
md4c (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/aether.lv2/ usr/lib/lv2/aether.lv2/aether_dsp.so usr/lib/lv2/aether.lv2/aether_ui.so usr/lib/lv2/aether.lv2/aether.ttl usr/lib/lv2/aether.lv2/fonts/ usr/lib/lv2/aether.lv2/fonts/Roboto-Light.ttf usr/lib/lv2/aether.lv2/fonts/Roboto-Regular.ttf usr/lib/lv2/aether.lv2/manifest.ttl usr/share/ usr/share/doc/ usr/share/doc/aether.lv2/ usr/share/doc/aether.lv2/dry-predelay.png usr/share/doc/aether.lv2/dry-wet.png usr/share/doc/aether.lv2/early-reflections.png usr/share/doc/aether.lv2/index.html usr/share/doc/aether.lv2/interpolate.png usr/share/doc/aether.lv2/late-reverberations.png usr/share/doc/aether.lv2/screenshot.png usr/share/doc/aether.lv2/seeds.png usr/share/doc/aether.lv2/spectrum-analyser.png usr/share/doc/aether.lv2/USERMANUAL.md usr/share/licenses/ usr/share/licenses/aether.lv2/ usr/share/licenses/aether.lv2/LICENSE.md
aarch64airwindows-lv230.0-1LV2 port of Airwindows audio plugins
Close

airwindows-lv2 30.0-1


Architecture:aarch64
Base Package:airwindows-lv2 (PKGBUILD)
Description:LV2 port of Airwindows audio plugins
Upstream URL:https://sr.ht/~hannes/airwindows-lv2
Groups:lv2-plugins
pro-audio
Download Size:389.5 kB (Download)
Installed Size:10.9 MB
License:MIT
Build Date:2024-09-15 01:59 UTC
Dependencies:glibc
lv2-host: for loading the LV2 format plugins (optional)
lv2 (make)
meson (make)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/Airwindows.lv2/ usr/lib/lv2/Airwindows.lv2/Acceleration.so usr/lib/lv2/Airwindows.lv2/Acceleration.ttl usr/lib/lv2/Airwindows.lv2/Acceleration2.so usr/lib/lv2/Airwindows.lv2/Acceleration2.ttl usr/lib/lv2/Airwindows.lv2/ADClip7.so usr/lib/lv2/Airwindows.lv2/ADClip7.ttl usr/lib/lv2/Airwindows.lv2/ADClip8.so usr/lib/lv2/Airwindows.lv2/ADClip8.ttl usr/lib/lv2/Airwindows.lv2/Air2.so usr/lib/lv2/Airwindows.lv2/Air2.ttl usr/lib/lv2/Airwindows.lv2/Air3.so usr/lib/lv2/Airwindows.lv2/Air3.ttl usr/lib/lv2/Airwindows.lv2/BassAmp.so usr/lib/lv2/Airwindows.lv2/BassAmp.ttl usr/lib/lv2/Airwindows.lv2/BassDrive.so usr/lib/lv2/Airwindows.lv2/BassDrive.ttl usr/lib/lv2/Airwindows.lv2/BassKit.so usr/lib/lv2/Airwindows.lv2/BassKit.ttl usr/lib/lv2/Airwindows.lv2/Baxandall.so usr/lib/lv2/Airwindows.lv2/Baxandall.ttl usr/lib/lv2/Airwindows.lv2/BigAmp.so usr/lib/lv2/Airwindows.lv2/BigAmp.ttl usr/lib/lv2/Airwindows.lv2/BitShiftGain.so usr/lib/lv2/Airwindows.lv2/BitShiftGain.ttl usr/lib/lv2/Airwindows.lv2/BitShiftPan.so usr/lib/lv2/Airwindows.lv2/BitShiftPan.ttl usr/lib/lv2/Airwindows.lv2/BrightAmbience.so usr/lib/lv2/Airwindows.lv2/BrightAmbience.ttl usr/lib/lv2/Airwindows.lv2/BrightAmbience2.so usr/lib/lv2/Airwindows.lv2/BrightAmbience2.ttl usr/lib/lv2/Airwindows.lv2/BrightAmbience3.so usr/lib/lv2/Airwindows.lv2/BrightAmbience3.ttl usr/lib/lv2/Airwindows.lv2/BussColors4.so usr/lib/lv2/Airwindows.lv2/BussColors4.ttl usr/lib/lv2/Airwindows.lv2/Cabs.so usr/lib/lv2/Airwindows.lv2/Cabs.ttl usr/lib/lv2/Airwindows.lv2/Capacitor.so usr/lib/lv2/Airwindows.lv2/Capacitor.ttl usr/lib/lv2/Airwindows.lv2/Capacitor2.so usr/lib/lv2/Airwindows.lv2/Capacitor2.ttl usr/lib/lv2/Airwindows.lv2/Channel8.so usr/lib/lv2/Airwindows.lv2/Channel8.ttl usr/lib/lv2/Airwindows.lv2/Channel9.so usr/lib/lv2/Airwindows.lv2/Channel9.ttl usr/lib/lv2/Airwindows.lv2/ClipOnly.so usr/lib/lv2/Airwindows.lv2/ClipOnly.ttl usr/lib/lv2/Airwindows.lv2/ClipOnly2.so usr/lib/lv2/Airwindows.lv2/ClipOnly2.ttl usr/lib/lv2/Airwindows.lv2/ClipSoftly.so usr/lib/lv2/Airwindows.lv2/ClipSoftly.ttl usr/lib/lv2/Airwindows.lv2/Compresaturator.so usr/lib/lv2/Airwindows.lv2/Compresaturator.ttl usr/lib/lv2/Airwindows.lv2/Console0Buss.so usr/lib/lv2/Airwindows.lv2/Console0Buss.ttl usr/lib/lv2/Airwindows.lv2/Console0Channel.so usr/lib/lv2/Airwindows.lv2/Console0Channel.ttl usr/lib/lv2/Airwindows.lv2/Console6Buss.so usr/lib/lv2/Airwindows.lv2/Console6Buss.ttl usr/lib/lv2/Airwindows.lv2/Console6Channel.so usr/lib/lv2/Airwindows.lv2/Console6Channel.ttl usr/lib/lv2/Airwindows.lv2/Console7Buss.so usr/lib/lv2/Airwindows.lv2/Console7Buss.ttl usr/lib/lv2/Airwindows.lv2/Console7Cascade.so usr/lib/lv2/Airwindows.lv2/Console7Cascade.ttl usr/lib/lv2/Airwindows.lv2/Console7Channel.so usr/lib/lv2/Airwindows.lv2/Console7Channel.ttl usr/lib/lv2/Airwindows.lv2/Console7Crunch.so usr/lib/lv2/Airwindows.lv2/Console7Crunch.ttl usr/lib/lv2/Airwindows.lv2/Console8BussHype.so usr/lib/lv2/Airwindows.lv2/Console8BussHype.ttl usr/lib/lv2/Airwindows.lv2/Console8BussIn.so usr/lib/lv2/Airwindows.lv2/Console8BussIn.ttl usr/lib/lv2/Airwindows.lv2/Console8BussOut.so usr/lib/lv2/Airwindows.lv2/Console8BussOut.ttl usr/lib/lv2/Airwindows.lv2/Console8ChannelHype.so usr/lib/lv2/Airwindows.lv2/Console8ChannelHype.ttl usr/lib/lv2/Airwindows.lv2/Console8ChannelIn.so usr/lib/lv2/Airwindows.lv2/Console8ChannelIn.ttl usr/lib/lv2/Airwindows.lv2/Console8ChannelOut.so usr/lib/lv2/Airwindows.lv2/Console8ChannelOut.ttl usr/lib/lv2/Airwindows.lv2/Console8LiteBuss.so usr/lib/lv2/Airwindows.lv2/Console8LiteBuss.ttl usr/lib/lv2/Airwindows.lv2/Console8LiteChannel.so usr/lib/lv2/Airwindows.lv2/Console8LiteChannel.ttl usr/lib/lv2/Airwindows.lv2/Console8SubHype.so usr/lib/lv2/Airwindows.lv2/Console8SubHype.ttl usr/lib/lv2/Airwindows.lv2/Console8SubIn.so usr/lib/lv2/Airwindows.lv2/Console8SubIn.ttl usr/lib/lv2/Airwindows.lv2/Console8SubOut.so usr/lib/lv2/Airwindows.lv2/Console8SubOut.ttl usr/lib/lv2/Airwindows.lv2/ConsoleLABuss.so usr/lib/lv2/Airwindows.lv2/ConsoleLABuss.ttl usr/lib/lv2/Airwindows.lv2/ConsoleLAChannel.so usr/lib/lv2/Airwindows.lv2/ConsoleLAChannel.ttl usr/lib/lv2/Airwindows.lv2/Creature.so usr/lib/lv2/Airwindows.lv2/Creature.ttl usr/lib/lv2/Airwindows.lv2/CrunchyGrooveWear.so usr/lib/lv2/Airwindows.lv2/CrunchyGrooveWear.ttl usr/lib/lv2/Airwindows.lv2/curve.so usr/lib/lv2/Airwindows.lv2/curve.ttl usr/lib/lv2/Airwindows.lv2/DCVoltage.so usr/lib/lv2/Airwindows.lv2/DCVoltage.ttl usr/lib/lv2/Airwindows.lv2/DeBess.so usr/lib/lv2/Airwindows.lv2/DeBess.ttl usr/lib/lv2/Airwindows.lv2/Desk.so usr/lib/lv2/Airwindows.lv2/Desk.ttl usr/lib/lv2/Airwindows.lv2/Distance2.so usr/lib/lv2/Airwindows.lv2/Distance2.ttl usr/lib/lv2/Airwindows.lv2/Drive.so usr/lib/lv2/Airwindows.lv2/Drive.ttl usr/lib/lv2/Airwindows.lv2/DrumSlam.so usr/lib/lv2/Airwindows.lv2/DrumSlam.ttl usr/lib/lv2/Airwindows.lv2/Dyno.so usr/lib/lv2/Airwindows.lv2/Dyno.ttl usr/lib/lv2/Airwindows.lv2/EdIsDim.so usr/lib/lv2/Airwindows.lv2/EdIsDim.ttl usr/lib/lv2/Airwindows.lv2/EQ.so usr/lib/lv2/Airwindows.lv2/EQ.ttl usr/lib/lv2/Airwindows.lv2/EverySlew.so usr/lib/lv2/Airwindows.lv2/EverySlew.ttl usr/lib/lv2/Airwindows.lv2/EveryTrim.so usr/lib/lv2/Airwindows.lv2/EveryTrim.ttl usr/lib/lv2/Airwindows.lv2/Facet.so usr/lib/lv2/Airwindows.lv2/Facet.ttl usr/lib/lv2/Airwindows.lv2/FinalClip.so usr/lib/lv2/Airwindows.lv2/FinalClip.ttl usr/lib/lv2/Airwindows.lv2/FireAmp.so usr/lib/lv2/Airwindows.lv2/FireAmp.ttl usr/lib/lv2/Airwindows.lv2/Flipity.so usr/lib/lv2/Airwindows.lv2/Flipity.ttl usr/lib/lv2/Airwindows.lv2/Focus.so usr/lib/lv2/Airwindows.lv2/Focus.ttl usr/lib/lv2/Airwindows.lv2/Fracture.so usr/lib/lv2/Airwindows.lv2/Fracture.ttl usr/lib/lv2/Airwindows.lv2/Galactic.so usr/lib/lv2/Airwindows.lv2/Galactic.ttl usr/lib/lv2/Airwindows.lv2/GlitchShifter.so usr/lib/lv2/Airwindows.lv2/GlitchShifter.ttl usr/lib/lv2/Airwindows.lv2/GoldenSlew.so usr/lib/lv2/Airwindows.lv2/GoldenSlew.ttl usr/lib/lv2/Airwindows.lv2/Golem.so usr/lib/lv2/Airwindows.lv2/Golem.ttl usr/lib/lv2/Airwindows.lv2/GrindAmp.so usr/lib/lv2/Airwindows.lv2/GrindAmp.ttl usr/lib/lv2/Airwindows.lv2/HermeTrim.so usr/lib/lv2/Airwindows.lv2/HermeTrim.ttl usr/lib/lv2/Airwindows.lv2/HighGlossDither.so usr/lib/lv2/Airwindows.lv2/HighGlossDither.ttl usr/lib/lv2/Airwindows.lv2/Hull2.so usr/lib/lv2/Airwindows.lv2/Hull2.ttl usr/lib/lv2/Airwindows.lv2/Hypersonic.so usr/lib/lv2/Airwindows.lv2/Hypersonic.ttl usr/lib/lv2/Airwindows.lv2/Infinity.so usr/lib/lv2/Airwindows.lv2/Infinity.ttl usr/lib/lv2/Airwindows.lv2/Infinity2.so usr/lib/lv2/Airwindows.lv2/Infinity2.ttl usr/lib/lv2/Airwindows.lv2/Inflamer.so usr/lib/lv2/Airwindows.lv2/Inflamer.ttl usr/lib/lv2/Airwindows.lv2/Infrasonic.so usr/lib/lv2/Airwindows.lv2/Infrasonic.ttl usr/lib/lv2/Airwindows.lv2/Interstage.so usr/lib/lv2/Airwindows.lv2/Interstage.ttl usr/lib/lv2/Airwindows.lv2/IronOxideClassic2.so usr/lib/lv2/Airwindows.lv2/IronOxideClassic2.ttl usr/lib/lv2/Airwindows.lv2/kPlateA.so usr/lib/lv2/Airwindows.lv2/kPlateA.ttl usr/lib/lv2/Airwindows.lv2/kPlateB.so usr/lib/lv2/Airwindows.lv2/kPlateB.ttl usr/lib/lv2/Airwindows.lv2/kPlateC.so usr/lib/lv2/Airwindows.lv2/kPlateC.ttl usr/lib/lv2/Airwindows.lv2/kPlateD.so usr/lib/lv2/Airwindows.lv2/kPlateD.ttl usr/lib/lv2/Airwindows.lv2/LeadAmp.so usr/lib/lv2/Airwindows.lv2/LeadAmp.ttl usr/lib/lv2/Airwindows.lv2/LeftoMono.so usr/lib/lv2/Airwindows.lv2/LeftoMono.ttl usr/lib/lv2/Airwindows.lv2/LilAmp.so usr/lib/lv2/Airwindows.lv2/LilAmp.ttl usr/lib/lv2/Airwindows.lv2/Luxor.so usr/lib/lv2/Airwindows.lv2/Luxor.ttl usr/lib/lv2/Airwindows.lv2/Mackity.so usr/lib/lv2/Airwindows.lv2/Mackity.ttl usr/lib/lv2/Airwindows.lv2/manifest.ttl usr/lib/lv2/Airwindows.lv2/MatrixVerb.so usr/lib/lv2/Airwindows.lv2/MatrixVerb.ttl usr/lib/lv2/Airwindows.lv2/MidAmp.so usr/lib/lv2/Airwindows.lv2/MidAmp.ttl usr/lib/lv2/Airwindows.lv2/MidSide.so usr/lib/lv2/Airwindows.lv2/MidSide.ttl usr/lib/lv2/Airwindows.lv2/Mojo.so usr/lib/lv2/Airwindows.lv2/Mojo.ttl usr/lib/lv2/Airwindows.lv2/Monitoring.so usr/lib/lv2/Airwindows.lv2/Monitoring.ttl usr/lib/lv2/Airwindows.lv2/MoNoam.so usr/lib/lv2/Airwindows.lv2/MoNoam.ttl usr/lib/lv2/Airwindows.lv2/MV.so usr/lib/lv2/Airwindows.lv2/MV.ttl usr/lib/lv2/Airwindows.lv2/Nikola.so usr/lib/lv2/Airwindows.lv2/Nikola.ttl usr/lib/lv2/Airwindows.lv2/Overheads.so usr/lib/lv2/Airwindows.lv2/Overheads.ttl usr/lib/lv2/Airwindows.lv2/PhaseNudge.so usr/lib/lv2/Airwindows.lv2/PhaseNudge.ttl usr/lib/lv2/Airwindows.lv2/PitchNasty.so usr/lib/lv2/Airwindows.lv2/PitchNasty.ttl usr/lib/lv2/Airwindows.lv2/PlatinumSlew.so usr/lib/lv2/Airwindows.lv2/PlatinumSlew.ttl usr/lib/lv2/Airwindows.lv2/PocketVerbs.so usr/lib/lv2/Airwindows.lv2/PocketVerbs.ttl usr/lib/lv2/Airwindows.lv2/Point.so usr/lib/lv2/Airwindows.lv2/Point.ttl usr/lib/lv2/Airwindows.lv2/Pop.so usr/lib/lv2/Airwindows.lv2/Pop.ttl usr/lib/lv2/Airwindows.lv2/Pop2.so usr/lib/lv2/Airwindows.lv2/Pop2.ttl usr/lib/lv2/Airwindows.lv2/PowerSag.so usr/lib/lv2/Airwindows.lv2/PowerSag.ttl usr/lib/lv2/Airwindows.lv2/PowerSag2.so usr/lib/lv2/Airwindows.lv2/PowerSag2.ttl usr/lib/lv2/Airwindows.lv2/Pressure5.so usr/lib/lv2/Airwindows.lv2/Pressure5.ttl usr/lib/lv2/Airwindows.lv2/PurestConsole2Buss.so usr/lib/lv2/Airwindows.lv2/PurestConsole2Buss.ttl usr/lib/lv2/Airwindows.lv2/PurestConsole2Channel.so usr/lib/lv2/Airwindows.lv2/PurestConsole2Channel.ttl usr/lib/lv2/Airwindows.lv2/PurestConsole3Buss.so usr/lib/lv2/Airwindows.lv2/PurestConsole3Buss.ttl usr/lib/lv2/Airwindows.lv2/PurestConsole3Channel.so usr/lib/lv2/Airwindows.lv2/PurestConsole3Channel.ttl usr/lib/lv2/Airwindows.lv2/PurestConsoleBuss.so usr/lib/lv2/Airwindows.lv2/PurestConsoleBuss.ttl usr/lib/lv2/Airwindows.lv2/PurestConsoleChannel.so usr/lib/lv2/Airwindows.lv2/PurestConsoleChannel.ttl usr/lib/lv2/Airwindows.lv2/PurestDrive.so usr/lib/lv2/Airwindows.lv2/PurestDrive.ttl usr/lib/lv2/Airwindows.lv2/PurestFade.so usr/lib/lv2/Airwindows.lv2/PurestFade.ttl usr/lib/lv2/Airwindows.lv2/PurestGain.so usr/lib/lv2/Airwindows.lv2/PurestGain.ttl usr/lib/lv2/Airwindows.lv2/RawGlitters.so usr/lib/lv2/Airwindows.lv2/RawGlitters.ttl usr/lib/lv2/Airwindows.lv2/RawTimbers.so usr/lib/lv2/Airwindows.lv2/RawTimbers.ttl usr/lib/lv2/Airwindows.lv2/Recurve.so usr/lib/lv2/Airwindows.lv2/Recurve.ttl usr/lib/lv2/Airwindows.lv2/ResEQ.so usr/lib/lv2/Airwindows.lv2/ResEQ.ttl usr/lib/lv2/Airwindows.lv2/ResEQ2.so usr/lib/lv2/Airwindows.lv2/ResEQ2.ttl usr/lib/lv2/Airwindows.lv2/Reverb.so usr/lib/lv2/Airwindows.lv2/Reverb.ttl usr/lib/lv2/Airwindows.lv2/RightoMono.so usr/lib/lv2/Airwindows.lv2/RightoMono.ttl usr/lib/lv2/Airwindows.lv2/SampleDelay.so usr/lib/lv2/Airwindows.lv2/SampleDelay.ttl usr/lib/lv2/Airwindows.lv2/ShortBuss.so usr/lib/lv2/Airwindows.lv2/ShortBuss.ttl usr/lib/lv2/Airwindows.lv2/SideDull.so usr/lib/lv2/Airwindows.lv2/SideDull.ttl usr/lib/lv2/Airwindows.lv2/Sidepass.so usr/lib/lv2/Airwindows.lv2/Sidepass.ttl usr/lib/lv2/Airwindows.lv2/Sinew.so usr/lib/lv2/Airwindows.lv2/Sinew.ttl usr/lib/lv2/Airwindows.lv2/Slew.so usr/lib/lv2/Airwindows.lv2/Slew.ttl usr/lib/lv2/Airwindows.lv2/SlewOnly.so usr/lib/lv2/Airwindows.lv2/SlewOnly.ttl usr/lib/lv2/Airwindows.lv2/Spiral.so usr/lib/lv2/Airwindows.lv2/Spiral.ttl usr/lib/lv2/Airwindows.lv2/Spiral2.so usr/lib/lv2/Airwindows.lv2/Spiral2.ttl usr/lib/lv2/Airwindows.lv2/Srsly2.so usr/lib/lv2/Airwindows.lv2/Srsly2.ttl usr/lib/lv2/Airwindows.lv2/StarChild.so usr/lib/lv2/Airwindows.lv2/StarChild.ttl usr/lib/lv2/Airwindows.lv2/SubsOnly.so usr/lib/lv2/Airwindows.lv2/SubsOnly.ttl usr/lib/lv2/Airwindows.lv2/ToTape5.so usr/lib/lv2/Airwindows.lv2/ToTape5.ttl usr/lib/lv2/Airwindows.lv2/ToTape6.so usr/lib/lv2/Airwindows.lv2/ToTape6.ttl usr/lib/lv2/Airwindows.lv2/TPDFDither.so usr/lib/lv2/Airwindows.lv2/TPDFDither.ttl usr/lib/lv2/Airwindows.lv2/TransDesk.so usr/lib/lv2/Airwindows.lv2/TransDesk.ttl usr/lib/lv2/Airwindows.lv2/Tube.so usr/lib/lv2/Airwindows.lv2/Tube.ttl usr/lib/lv2/Airwindows.lv2/Tube2.so usr/lib/lv2/Airwindows.lv2/Tube2.ttl usr/lib/lv2/Airwindows.lv2/TubeDesk.so usr/lib/lv2/Airwindows.lv2/TubeDesk.ttl usr/lib/lv2/Airwindows.lv2/Ultrasonic.so usr/lib/lv2/Airwindows.lv2/Ultrasonic.ttl usr/lib/lv2/Airwindows.lv2/UltrasonicLite.so usr/lib/lv2/Airwindows.lv2/UltrasonicLite.ttl usr/lib/lv2/Airwindows.lv2/UltrasonicMed.so usr/lib/lv2/Airwindows.lv2/UltrasonicMed.ttl usr/lib/lv2/Airwindows.lv2/Verbity.so usr/lib/lv2/Airwindows.lv2/Verbity.ttl usr/lib/lv2/Airwindows.lv2/Vibrato.so usr/lib/lv2/Airwindows.lv2/Vibrato.ttl usr/lib/lv2/Airwindows.lv2/XBandpass.so usr/lib/lv2/Airwindows.lv2/XBandpass.ttl usr/lib/lv2/Airwindows.lv2/XLowpass.so usr/lib/lv2/Airwindows.lv2/XLowpass.ttl usr/lib/lv2/Airwindows.lv2/XNotch.so usr/lib/lv2/Airwindows.lv2/XNotch.ttl usr/lib/lv2/Airwindows.lv2/XRegion.so usr/lib/lv2/Airwindows.lv2/XRegion.ttl usr/lib/lv2/Airwindows.lv2/ZOutputStage.so usr/lib/lv2/Airwindows.lv2/ZOutputStage.ttl usr/share/ usr/share/doc/ usr/share/doc/airwindows-lv2/ usr/share/doc/airwindows-lv2/NOTES.md usr/share/doc/airwindows-lv2/README.md usr/share/licenses/ usr/share/licenses/airwindows-lv2/ usr/share/licenses/airwindows-lv2/LICENSE
x86_64airwindows-lv230.0-1LV2 port of Airwindows audio plugins
Close

airwindows-lv2 30.0-1


Architecture:x86_64
Base Package:airwindows-lv2 (PKGBUILD)
Description:LV2 port of Airwindows audio plugins
Upstream URL:https://sr.ht/~hannes/airwindows-lv2
Groups:lv2-plugins
pro-audio
Download Size:495.8 kB (Download)
Installed Size:3.2 MB
License:MIT
Build Date:2024-09-15 01:59 UTC
Dependencies:glibc
lv2-host: for loading the LV2 format plugins (optional)
lv2 (make)
meson (make)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/Airwindows.lv2/ usr/lib/lv2/Airwindows.lv2/Acceleration.so usr/lib/lv2/Airwindows.lv2/Acceleration.ttl usr/lib/lv2/Airwindows.lv2/Acceleration2.so usr/lib/lv2/Airwindows.lv2/Acceleration2.ttl usr/lib/lv2/Airwindows.lv2/ADClip7.so usr/lib/lv2/Airwindows.lv2/ADClip7.ttl usr/lib/lv2/Airwindows.lv2/ADClip8.so usr/lib/lv2/Airwindows.lv2/ADClip8.ttl usr/lib/lv2/Airwindows.lv2/Air2.so usr/lib/lv2/Airwindows.lv2/Air2.ttl usr/lib/lv2/Airwindows.lv2/Air3.so usr/lib/lv2/Airwindows.lv2/Air3.ttl usr/lib/lv2/Airwindows.lv2/BassAmp.so usr/lib/lv2/Airwindows.lv2/BassAmp.ttl usr/lib/lv2/Airwindows.lv2/BassDrive.so usr/lib/lv2/Airwindows.lv2/BassDrive.ttl usr/lib/lv2/Airwindows.lv2/BassKit.so usr/lib/lv2/Airwindows.lv2/BassKit.ttl usr/lib/lv2/Airwindows.lv2/Baxandall.so usr/lib/lv2/Airwindows.lv2/Baxandall.ttl usr/lib/lv2/Airwindows.lv2/BigAmp.so usr/lib/lv2/Airwindows.lv2/BigAmp.ttl usr/lib/lv2/Airwindows.lv2/BitShiftGain.so usr/lib/lv2/Airwindows.lv2/BitShiftGain.ttl usr/lib/lv2/Airwindows.lv2/BitShiftPan.so usr/lib/lv2/Airwindows.lv2/BitShiftPan.ttl usr/lib/lv2/Airwindows.lv2/BrightAmbience.so usr/lib/lv2/Airwindows.lv2/BrightAmbience.ttl usr/lib/lv2/Airwindows.lv2/BrightAmbience2.so usr/lib/lv2/Airwindows.lv2/BrightAmbience2.ttl usr/lib/lv2/Airwindows.lv2/BrightAmbience3.so usr/lib/lv2/Airwindows.lv2/BrightAmbience3.ttl usr/lib/lv2/Airwindows.lv2/BussColors4.so usr/lib/lv2/Airwindows.lv2/BussColors4.ttl usr/lib/lv2/Airwindows.lv2/Cabs.so usr/lib/lv2/Airwindows.lv2/Cabs.ttl usr/lib/lv2/Airwindows.lv2/Capacitor.so usr/lib/lv2/Airwindows.lv2/Capacitor.ttl usr/lib/lv2/Airwindows.lv2/Capacitor2.so usr/lib/lv2/Airwindows.lv2/Capacitor2.ttl usr/lib/lv2/Airwindows.lv2/Channel8.so usr/lib/lv2/Airwindows.lv2/Channel8.ttl usr/lib/lv2/Airwindows.lv2/Channel9.so usr/lib/lv2/Airwindows.lv2/Channel9.ttl usr/lib/lv2/Airwindows.lv2/ClipOnly.so usr/lib/lv2/Airwindows.lv2/ClipOnly.ttl usr/lib/lv2/Airwindows.lv2/ClipOnly2.so usr/lib/lv2/Airwindows.lv2/ClipOnly2.ttl usr/lib/lv2/Airwindows.lv2/ClipSoftly.so usr/lib/lv2/Airwindows.lv2/ClipSoftly.ttl usr/lib/lv2/Airwindows.lv2/Compresaturator.so usr/lib/lv2/Airwindows.lv2/Compresaturator.ttl usr/lib/lv2/Airwindows.lv2/Console0Buss.so usr/lib/lv2/Airwindows.lv2/Console0Buss.ttl usr/lib/lv2/Airwindows.lv2/Console0Channel.so usr/lib/lv2/Airwindows.lv2/Console0Channel.ttl usr/lib/lv2/Airwindows.lv2/Console6Buss.so usr/lib/lv2/Airwindows.lv2/Console6Buss.ttl usr/lib/lv2/Airwindows.lv2/Console6Channel.so usr/lib/lv2/Airwindows.lv2/Console6Channel.ttl usr/lib/lv2/Airwindows.lv2/Console7Buss.so usr/lib/lv2/Airwindows.lv2/Console7Buss.ttl usr/lib/lv2/Airwindows.lv2/Console7Cascade.so usr/lib/lv2/Airwindows.lv2/Console7Cascade.ttl usr/lib/lv2/Airwindows.lv2/Console7Channel.so usr/lib/lv2/Airwindows.lv2/Console7Channel.ttl usr/lib/lv2/Airwindows.lv2/Console7Crunch.so usr/lib/lv2/Airwindows.lv2/Console7Crunch.ttl usr/lib/lv2/Airwindows.lv2/Console8BussHype.so usr/lib/lv2/Airwindows.lv2/Console8BussHype.ttl usr/lib/lv2/Airwindows.lv2/Console8BussIn.so usr/lib/lv2/Airwindows.lv2/Console8BussIn.ttl usr/lib/lv2/Airwindows.lv2/Console8BussOut.so usr/lib/lv2/Airwindows.lv2/Console8BussOut.ttl usr/lib/lv2/Airwindows.lv2/Console8ChannelHype.so usr/lib/lv2/Airwindows.lv2/Console8ChannelHype.ttl usr/lib/lv2/Airwindows.lv2/Console8ChannelIn.so usr/lib/lv2/Airwindows.lv2/Console8ChannelIn.ttl usr/lib/lv2/Airwindows.lv2/Console8ChannelOut.so usr/lib/lv2/Airwindows.lv2/Console8ChannelOut.ttl usr/lib/lv2/Airwindows.lv2/Console8LiteBuss.so usr/lib/lv2/Airwindows.lv2/Console8LiteBuss.ttl usr/lib/lv2/Airwindows.lv2/Console8LiteChannel.so usr/lib/lv2/Airwindows.lv2/Console8LiteChannel.ttl usr/lib/lv2/Airwindows.lv2/Console8SubHype.so usr/lib/lv2/Airwindows.lv2/Console8SubHype.ttl usr/lib/lv2/Airwindows.lv2/Console8SubIn.so usr/lib/lv2/Airwindows.lv2/Console8SubIn.ttl usr/lib/lv2/Airwindows.lv2/Console8SubOut.so usr/lib/lv2/Airwindows.lv2/Console8SubOut.ttl usr/lib/lv2/Airwindows.lv2/ConsoleLABuss.so usr/lib/lv2/Airwindows.lv2/ConsoleLABuss.ttl usr/lib/lv2/Airwindows.lv2/ConsoleLAChannel.so usr/lib/lv2/Airwindows.lv2/ConsoleLAChannel.ttl usr/lib/lv2/Airwindows.lv2/Creature.so usr/lib/lv2/Airwindows.lv2/Creature.ttl usr/lib/lv2/Airwindows.lv2/CrunchyGrooveWear.so usr/lib/lv2/Airwindows.lv2/CrunchyGrooveWear.ttl usr/lib/lv2/Airwindows.lv2/curve.so usr/lib/lv2/Airwindows.lv2/curve.ttl usr/lib/lv2/Airwindows.lv2/DCVoltage.so usr/lib/lv2/Airwindows.lv2/DCVoltage.ttl usr/lib/lv2/Airwindows.lv2/DeBess.so usr/lib/lv2/Airwindows.lv2/DeBess.ttl usr/lib/lv2/Airwindows.lv2/Desk.so usr/lib/lv2/Airwindows.lv2/Desk.ttl usr/lib/lv2/Airwindows.lv2/Distance2.so usr/lib/lv2/Airwindows.lv2/Distance2.ttl usr/lib/lv2/Airwindows.lv2/Drive.so usr/lib/lv2/Airwindows.lv2/Drive.ttl usr/lib/lv2/Airwindows.lv2/DrumSlam.so usr/lib/lv2/Airwindows.lv2/DrumSlam.ttl usr/lib/lv2/Airwindows.lv2/Dyno.so usr/lib/lv2/Airwindows.lv2/Dyno.ttl usr/lib/lv2/Airwindows.lv2/EdIsDim.so usr/lib/lv2/Airwindows.lv2/EdIsDim.ttl usr/lib/lv2/Airwindows.lv2/EQ.so usr/lib/lv2/Airwindows.lv2/EQ.ttl usr/lib/lv2/Airwindows.lv2/EverySlew.so usr/lib/lv2/Airwindows.lv2/EverySlew.ttl usr/lib/lv2/Airwindows.lv2/EveryTrim.so usr/lib/lv2/Airwindows.lv2/EveryTrim.ttl usr/lib/lv2/Airwindows.lv2/Facet.so usr/lib/lv2/Airwindows.lv2/Facet.ttl usr/lib/lv2/Airwindows.lv2/FinalClip.so usr/lib/lv2/Airwindows.lv2/FinalClip.ttl usr/lib/lv2/Airwindows.lv2/FireAmp.so usr/lib/lv2/Airwindows.lv2/FireAmp.ttl usr/lib/lv2/Airwindows.lv2/Flipity.so usr/lib/lv2/Airwindows.lv2/Flipity.ttl usr/lib/lv2/Airwindows.lv2/Focus.so usr/lib/lv2/Airwindows.lv2/Focus.ttl usr/lib/lv2/Airwindows.lv2/Fracture.so usr/lib/lv2/Airwindows.lv2/Fracture.ttl usr/lib/lv2/Airwindows.lv2/Galactic.so usr/lib/lv2/Airwindows.lv2/Galactic.ttl usr/lib/lv2/Airwindows.lv2/GlitchShifter.so usr/lib/lv2/Airwindows.lv2/GlitchShifter.ttl usr/lib/lv2/Airwindows.lv2/GoldenSlew.so usr/lib/lv2/Airwindows.lv2/GoldenSlew.ttl usr/lib/lv2/Airwindows.lv2/Golem.so usr/lib/lv2/Airwindows.lv2/Golem.ttl usr/lib/lv2/Airwindows.lv2/GrindAmp.so usr/lib/lv2/Airwindows.lv2/GrindAmp.ttl usr/lib/lv2/Airwindows.lv2/HermeTrim.so usr/lib/lv2/Airwindows.lv2/HermeTrim.ttl usr/lib/lv2/Airwindows.lv2/HighGlossDither.so usr/lib/lv2/Airwindows.lv2/HighGlossDither.ttl usr/lib/lv2/Airwindows.lv2/Hull2.so usr/lib/lv2/Airwindows.lv2/Hull2.ttl usr/lib/lv2/Airwindows.lv2/Hypersonic.so usr/lib/lv2/Airwindows.lv2/Hypersonic.ttl usr/lib/lv2/Airwindows.lv2/Infinity.so usr/lib/lv2/Airwindows.lv2/Infinity.ttl usr/lib/lv2/Airwindows.lv2/Infinity2.so usr/lib/lv2/Airwindows.lv2/Infinity2.ttl usr/lib/lv2/Airwindows.lv2/Inflamer.so usr/lib/lv2/Airwindows.lv2/Inflamer.ttl usr/lib/lv2/Airwindows.lv2/Infrasonic.so usr/lib/lv2/Airwindows.lv2/Infrasonic.ttl usr/lib/lv2/Airwindows.lv2/Interstage.so usr/lib/lv2/Airwindows.lv2/Interstage.ttl usr/lib/lv2/Airwindows.lv2/IronOxideClassic2.so usr/lib/lv2/Airwindows.lv2/IronOxideClassic2.ttl usr/lib/lv2/Airwindows.lv2/kPlateA.so usr/lib/lv2/Airwindows.lv2/kPlateA.ttl usr/lib/lv2/Airwindows.lv2/kPlateB.so usr/lib/lv2/Airwindows.lv2/kPlateB.ttl usr/lib/lv2/Airwindows.lv2/kPlateC.so usr/lib/lv2/Airwindows.lv2/kPlateC.ttl usr/lib/lv2/Airwindows.lv2/kPlateD.so usr/lib/lv2/Airwindows.lv2/kPlateD.ttl usr/lib/lv2/Airwindows.lv2/LeadAmp.so usr/lib/lv2/Airwindows.lv2/LeadAmp.ttl usr/lib/lv2/Airwindows.lv2/LeftoMono.so usr/lib/lv2/Airwindows.lv2/LeftoMono.ttl usr/lib/lv2/Airwindows.lv2/LilAmp.so usr/lib/lv2/Airwindows.lv2/LilAmp.ttl usr/lib/lv2/Airwindows.lv2/Luxor.so usr/lib/lv2/Airwindows.lv2/Luxor.ttl usr/lib/lv2/Airwindows.lv2/Mackity.so usr/lib/lv2/Airwindows.lv2/Mackity.ttl usr/lib/lv2/Airwindows.lv2/manifest.ttl usr/lib/lv2/Airwindows.lv2/MatrixVerb.so usr/lib/lv2/Airwindows.lv2/MatrixVerb.ttl usr/lib/lv2/Airwindows.lv2/MidAmp.so usr/lib/lv2/Airwindows.lv2/MidAmp.ttl usr/lib/lv2/Airwindows.lv2/MidSide.so usr/lib/lv2/Airwindows.lv2/MidSide.ttl usr/lib/lv2/Airwindows.lv2/Mojo.so usr/lib/lv2/Airwindows.lv2/Mojo.ttl usr/lib/lv2/Airwindows.lv2/Monitoring.so usr/lib/lv2/Airwindows.lv2/Monitoring.ttl usr/lib/lv2/Airwindows.lv2/MoNoam.so usr/lib/lv2/Airwindows.lv2/MoNoam.ttl usr/lib/lv2/Airwindows.lv2/MV.so usr/lib/lv2/Airwindows.lv2/MV.ttl usr/lib/lv2/Airwindows.lv2/Nikola.so usr/lib/lv2/Airwindows.lv2/Nikola.ttl usr/lib/lv2/Airwindows.lv2/Overheads.so usr/lib/lv2/Airwindows.lv2/Overheads.ttl usr/lib/lv2/Airwindows.lv2/PhaseNudge.so usr/lib/lv2/Airwindows.lv2/PhaseNudge.ttl usr/lib/lv2/Airwindows.lv2/PitchNasty.so usr/lib/lv2/Airwindows.lv2/PitchNasty.ttl usr/lib/lv2/Airwindows.lv2/PlatinumSlew.so usr/lib/lv2/Airwindows.lv2/PlatinumSlew.ttl usr/lib/lv2/Airwindows.lv2/PocketVerbs.so usr/lib/lv2/Airwindows.lv2/PocketVerbs.ttl usr/lib/lv2/Airwindows.lv2/Point.so usr/lib/lv2/Airwindows.lv2/Point.ttl usr/lib/lv2/Airwindows.lv2/Pop.so usr/lib/lv2/Airwindows.lv2/Pop.ttl usr/lib/lv2/Airwindows.lv2/Pop2.so usr/lib/lv2/Airwindows.lv2/Pop2.ttl usr/lib/lv2/Airwindows.lv2/PowerSag.so usr/lib/lv2/Airwindows.lv2/PowerSag.ttl usr/lib/lv2/Airwindows.lv2/PowerSag2.so usr/lib/lv2/Airwindows.lv2/PowerSag2.ttl usr/lib/lv2/Airwindows.lv2/Pressure5.so usr/lib/lv2/Airwindows.lv2/Pressure5.ttl usr/lib/lv2/Airwindows.lv2/PurestConsole2Buss.so usr/lib/lv2/Airwindows.lv2/PurestConsole2Buss.ttl usr/lib/lv2/Airwindows.lv2/PurestConsole2Channel.so usr/lib/lv2/Airwindows.lv2/PurestConsole2Channel.ttl usr/lib/lv2/Airwindows.lv2/PurestConsole3Buss.so usr/lib/lv2/Airwindows.lv2/PurestConsole3Buss.ttl usr/lib/lv2/Airwindows.lv2/PurestConsole3Channel.so usr/lib/lv2/Airwindows.lv2/PurestConsole3Channel.ttl usr/lib/lv2/Airwindows.lv2/PurestConsoleBuss.so usr/lib/lv2/Airwindows.lv2/PurestConsoleBuss.ttl usr/lib/lv2/Airwindows.lv2/PurestConsoleChannel.so usr/lib/lv2/Airwindows.lv2/PurestConsoleChannel.ttl usr/lib/lv2/Airwindows.lv2/PurestDrive.so usr/lib/lv2/Airwindows.lv2/PurestDrive.ttl usr/lib/lv2/Airwindows.lv2/PurestFade.so usr/lib/lv2/Airwindows.lv2/PurestFade.ttl usr/lib/lv2/Airwindows.lv2/PurestGain.so usr/lib/lv2/Airwindows.lv2/PurestGain.ttl usr/lib/lv2/Airwindows.lv2/RawGlitters.so usr/lib/lv2/Airwindows.lv2/RawGlitters.ttl usr/lib/lv2/Airwindows.lv2/RawTimbers.so usr/lib/lv2/Airwindows.lv2/RawTimbers.ttl usr/lib/lv2/Airwindows.lv2/Recurve.so usr/lib/lv2/Airwindows.lv2/Recurve.ttl usr/lib/lv2/Airwindows.lv2/ResEQ.so usr/lib/lv2/Airwindows.lv2/ResEQ.ttl usr/lib/lv2/Airwindows.lv2/ResEQ2.so usr/lib/lv2/Airwindows.lv2/ResEQ2.ttl usr/lib/lv2/Airwindows.lv2/Reverb.so usr/lib/lv2/Airwindows.lv2/Reverb.ttl usr/lib/lv2/Airwindows.lv2/RightoMono.so usr/lib/lv2/Airwindows.lv2/RightoMono.ttl usr/lib/lv2/Airwindows.lv2/SampleDelay.so usr/lib/lv2/Airwindows.lv2/SampleDelay.ttl usr/lib/lv2/Airwindows.lv2/ShortBuss.so usr/lib/lv2/Airwindows.lv2/ShortBuss.ttl usr/lib/lv2/Airwindows.lv2/SideDull.so usr/lib/lv2/Airwindows.lv2/SideDull.ttl usr/lib/lv2/Airwindows.lv2/Sidepass.so usr/lib/lv2/Airwindows.lv2/Sidepass.ttl usr/lib/lv2/Airwindows.lv2/Sinew.so usr/lib/lv2/Airwindows.lv2/Sinew.ttl usr/lib/lv2/Airwindows.lv2/Slew.so usr/lib/lv2/Airwindows.lv2/Slew.ttl usr/lib/lv2/Airwindows.lv2/SlewOnly.so usr/lib/lv2/Airwindows.lv2/SlewOnly.ttl usr/lib/lv2/Airwindows.lv2/Spiral.so usr/lib/lv2/Airwindows.lv2/Spiral.ttl usr/lib/lv2/Airwindows.lv2/Spiral2.so usr/lib/lv2/Airwindows.lv2/Spiral2.ttl usr/lib/lv2/Airwindows.lv2/Srsly2.so usr/lib/lv2/Airwindows.lv2/Srsly2.ttl usr/lib/lv2/Airwindows.lv2/StarChild.so usr/lib/lv2/Airwindows.lv2/StarChild.ttl usr/lib/lv2/Airwindows.lv2/SubsOnly.so usr/lib/lv2/Airwindows.lv2/SubsOnly.ttl usr/lib/lv2/Airwindows.lv2/ToTape5.so usr/lib/lv2/Airwindows.lv2/ToTape5.ttl usr/lib/lv2/Airwindows.lv2/ToTape6.so usr/lib/lv2/Airwindows.lv2/ToTape6.ttl usr/lib/lv2/Airwindows.lv2/TPDFDither.so usr/lib/lv2/Airwindows.lv2/TPDFDither.ttl usr/lib/lv2/Airwindows.lv2/TransDesk.so usr/lib/lv2/Airwindows.lv2/TransDesk.ttl usr/lib/lv2/Airwindows.lv2/Tube.so usr/lib/lv2/Airwindows.lv2/Tube.ttl usr/lib/lv2/Airwindows.lv2/Tube2.so usr/lib/lv2/Airwindows.lv2/Tube2.ttl usr/lib/lv2/Airwindows.lv2/TubeDesk.so usr/lib/lv2/Airwindows.lv2/TubeDesk.ttl usr/lib/lv2/Airwindows.lv2/Ultrasonic.so usr/lib/lv2/Airwindows.lv2/Ultrasonic.ttl usr/lib/lv2/Airwindows.lv2/UltrasonicLite.so usr/lib/lv2/Airwindows.lv2/UltrasonicLite.ttl usr/lib/lv2/Airwindows.lv2/UltrasonicMed.so usr/lib/lv2/Airwindows.lv2/UltrasonicMed.ttl usr/lib/lv2/Airwindows.lv2/Verbity.so usr/lib/lv2/Airwindows.lv2/Verbity.ttl usr/lib/lv2/Airwindows.lv2/Vibrato.so usr/lib/lv2/Airwindows.lv2/Vibrato.ttl usr/lib/lv2/Airwindows.lv2/XBandpass.so usr/lib/lv2/Airwindows.lv2/XBandpass.ttl usr/lib/lv2/Airwindows.lv2/XLowpass.so usr/lib/lv2/Airwindows.lv2/XLowpass.ttl usr/lib/lv2/Airwindows.lv2/XNotch.so usr/lib/lv2/Airwindows.lv2/XNotch.ttl usr/lib/lv2/Airwindows.lv2/XRegion.so usr/lib/lv2/Airwindows.lv2/XRegion.ttl usr/lib/lv2/Airwindows.lv2/ZOutputStage.so usr/lib/lv2/Airwindows.lv2/ZOutputStage.ttl usr/share/ usr/share/doc/ usr/share/doc/airwindows-lv2/ usr/share/doc/airwindows-lv2/NOTES.md usr/share/doc/airwindows-lv2/README.md usr/share/licenses/ usr/share/licenses/airwindows-lv2/ usr/share/licenses/airwindows-lv2/LICENSE
aarch64audiowmark0.6.2-1Audio and video watermarking
Close

audiowmark 0.6.2-1


Architecture:aarch64
Base Package:audiowmark (PKGBUILD)
Description:Audio and video watermarking
Upstream URL:https://uplex.de/audiowmark/
Groups:pro-audio
Download Size:193.1 kB (Download)
Installed Size:615.7 kB
License:GPL-3.0-or-later
Build Date:2024-02-21 21:11 UTC
Dependencies:bash
gcc-libs
glibc
libavcodec.so=60-64
libavformat.so=60-64
libavutil.so=58-64
libfftw3f.so=3-64
libgcrypt
libmpg123.so=0-64
libsndfile.so=1-64
libswresample.so=4-64
libzita-resampler.so=1-64
ffmpeg (make)
fftw (make)
libsndfile (make)
mpg123 (make)
zita-resampler (make)
Package Contents
usr/ usr/bin/ usr/bin/audiowmark usr/bin/videowmark usr/share/ usr/share/doc/ usr/share/doc/audiowmark/ usr/share/doc/audiowmark/README.adoc usr/share/licenses/ usr/share/licenses/audiowmark/ usr/share/licenses/audiowmark/NEWS
x86_64audiowmark0.6.2-1Audio and video watermarking
Close

audiowmark 0.6.2-1


Architecture:x86_64
Base Package:audiowmark (PKGBUILD)
Description:Audio and video watermarking
Upstream URL:https://uplex.de/audiowmark/
Groups:pro-audio
Download Size:213.0 kB (Download)
Installed Size:541.9 kB
License:GPL-3.0-or-later
Build Date:2024-02-21 21:11 UTC
Dependencies:bash
gcc-libs
glibc
libavcodec.so=60-64
libavformat.so=60-64
libavutil.so=58-64
libfftw3f.so=3-64
libgcrypt
libmpg123.so=0-64
libsndfile.so=1-64
libswresample.so=4-64
libzita-resampler.so=1-64
ffmpeg (make)
fftw (make)
libsndfile (make)
mpg123 (make)
zita-resampler (make)
Package Contents
usr/ usr/bin/ usr/bin/audiowmark usr/bin/videowmark usr/share/ usr/share/doc/ usr/share/doc/audiowmark/ usr/share/doc/audiowmark/README.adoc usr/share/licenses/ usr/share/licenses/audiowmark/ usr/share/licenses/audiowmark/NEWS
aarch64clap-example-host1.0.3-3CLAP example audio plugin host
Close

clap-example-host 1.0.3-3


Architecture:aarch64
Base Package:clap-example-host (PKGBUILD)
Description:CLAP example audio plugin host
Upstream URL:https://github.com/free-audio/clap-host
Groups:pro-audio
Provides:clap-host
Download Size:71.1 kB (Download)
Installed Size:265.6 kB
License:MIT
Build Date:2024-02-25 20:07 UTC
Dependencies:gcc-libs
hicolor-icon-theme
librtaudio.so=7-64
librtmidi.so=7-64
qt6-base
catch2-v2 (make)
cmake (make)
rtaudio (make)
rtmidi (make)
Package Contents
usr/ usr/bin/ usr/bin/clap-example-host usr/share/ usr/share/applications/ usr/share/applications/org.cleveraudio.clap-host.desktop usr/share/icons/ usr/share/icons/hicolor/ usr/share/icons/hicolor/scalable/ usr/share/icons/hicolor/scalable/apps/ usr/share/icons/hicolor/scalable/apps/org.cleveraudio.clap-host.svg usr/share/licenses/ usr/share/licenses/clap-example-host/ usr/share/licenses/clap-example-host/LICENSE usr/share/metainfo/ usr/share/metainfo/org.cleveraudio.clap-host.appdata.xml
x86_64clap-example-host1.0.3-3CLAP example audio plugin host
Close

clap-example-host 1.0.3-3


Architecture:x86_64
Base Package:clap-example-host (PKGBUILD)
Description:CLAP example audio plugin host
Upstream URL:https://github.com/free-audio/clap-host
Groups:pro-audio
Provides:clap-host
Download Size:81.7 kB (Download)
Installed Size:245.3 kB
License:MIT
Build Date:2024-02-25 20:07 UTC
Dependencies:gcc-libs
hicolor-icon-theme
librtaudio.so=7-64
librtmidi.so=7-64
qt6-base
catch2-v2 (make)
cmake (make)
rtaudio (make)
rtmidi (make)
Package Contents
usr/ usr/bin/ usr/bin/clap-example-host usr/share/ usr/share/applications/ usr/share/applications/org.cleveraudio.clap-host.desktop usr/share/icons/ usr/share/icons/hicolor/ usr/share/icons/hicolor/scalable/ usr/share/icons/hicolor/scalable/apps/ usr/share/icons/hicolor/scalable/apps/org.cleveraudio.clap-host.svg usr/share/licenses/ usr/share/licenses/clap-example-host/ usr/share/licenses/clap-example-host/LICENSE usr/share/metainfo/ usr/share/metainfo/org.cleveraudio.clap-host.appdata.xml
aarch64clap-validator0.3.2-1An automatic CLAP validation and testing tool
Close

clap-validator 0.3.2-1


Architecture:aarch64
Base Package:clap-validator (PKGBUILD)
Description:An automatic CLAP validation and testing tool
Upstream URL:https://github.com/free-audio/clap-validator
Groups:pro-audio
Download Size:842.9 kB (Download)
Installed Size:3.1 MB
License:MIT
Build Date:2023-03-27 09:41 UTC
Dependencies:gcc-libs
cargo (make)
Package Contents
usr/ usr/bin/ usr/bin/clap-validator usr/share/ usr/share/licenses/ usr/share/licenses/clap-validator/ usr/share/licenses/clap-validator/LICENSE
x86_64clap-validator0.3.2-1An automatic CLAP validation and testing tool
Close

clap-validator 0.3.2-1


Architecture:x86_64
Base Package:clap-validator (PKGBUILD)
Description:An automatic CLAP validation and testing tool
Upstream URL:https://github.com/free-audio/clap-validator
Groups:pro-audio
Download Size:1.3 MB (Download)
Installed Size:3.5 MB
License:MIT
Build Date:2023-03-27 09:41 UTC
Dependencies:gcc-libs
cargo (make)
Package Contents
usr/ usr/bin/ usr/bin/clap-validator usr/share/ usr/share/licenses/ usr/share/licenses/clap-validator/ usr/share/licenses/clap-validator/LICENSE
aarch64cloudreverb0.2-1An algorithmic reverb plugin and app for creating huge, endless spaces and modulated echoes
Close

cloudreverb 0.2-1


Architecture:aarch64
Base Package:cloudreverb (PKGBUILD)
Description:An algorithmic reverb plugin and app for creating huge, endless spaces and modulated echoes
Upstream URL:https://github.com/xunil-cloud/CloudReverb
Groups:lv2-plugins
pro-audio
vst3-plugins
Download Size:2.1 MB (Download)
Installed Size:10.8 MB
License:MIT
Build Date:2024-06-18 01:00 UTC
Dependencies:alsa-lib
freetype2
gcc-libs
glibc
libasound.so=2-64
libfreetype.so=6-64
jack: for running the standalone version with JACK (optional)
lv2-host: for loading the LV2 plugin (optional)
vst3-host: for loading the VST3 plugin (optional)
cmake (make)
webkit2gtk (make)
lv2lint (check)
Package Contents
usr/ usr/bin/ usr/bin/CloudReverb usr/lib/ usr/lib/lv2/ usr/lib/lv2/CloudReverb.lv2/ usr/lib/lv2/CloudReverb.lv2/dsp.ttl usr/lib/lv2/CloudReverb.lv2/libCloudReverb.so usr/lib/lv2/CloudReverb.lv2/manifest.ttl usr/lib/lv2/CloudReverb.lv2/ui.ttl usr/lib/vst3/ usr/lib/vst3/CloudReverb.vst3/ usr/lib/vst3/CloudReverb.vst3/Contents/ usr/lib/vst3/CloudReverb.vst3/Contents/aarch64-linux/ usr/lib/vst3/CloudReverb.vst3/Contents/aarch64-linux/CloudReverb.so usr/share/ usr/share/doc/ usr/share/doc/cloudreverb/ usr/share/doc/cloudreverb/README.md usr/share/doc/cloudreverb/screenshots/ usr/share/doc/cloudreverb/screenshots/screenshot_01.png usr/share/licenses/ usr/share/licenses/cloudreverb/ usr/share/licenses/cloudreverb/LICENSE
x86_64cloudreverb0.2-1An algorithmic reverb plugin and app for creating huge, endless spaces and modulated echoes
Close

cloudreverb 0.2-1


Architecture:x86_64
Base Package:cloudreverb (PKGBUILD)
Description:An algorithmic reverb plugin and app for creating huge, endless spaces and modulated echoes
Upstream URL:https://github.com/xunil-cloud/CloudReverb
Groups:lv2-plugins
pro-audio
vst3-plugins
Download Size:2.3 MB (Download)
Installed Size:9.9 MB
License:MIT
Build Date:2024-06-18 01:00 UTC
Dependencies:alsa-lib
freetype2
gcc-libs
glibc
libasound.so=2-64
libfreetype.so=6-64
jack: for running the standalone version with JACK (optional)
lv2-host: for loading the LV2 plugin (optional)
vst3-host: for loading the VST3 plugin (optional)
cmake (make)
webkit2gtk (make)
lv2lint (check)
Package Contents
usr/ usr/bin/ usr/bin/CloudReverb usr/lib/ usr/lib/lv2/ usr/lib/lv2/CloudReverb.lv2/ usr/lib/lv2/CloudReverb.lv2/dsp.ttl usr/lib/lv2/CloudReverb.lv2/libCloudReverb.so usr/lib/lv2/CloudReverb.lv2/manifest.ttl usr/lib/lv2/CloudReverb.lv2/ui.ttl usr/lib/vst3/ usr/lib/vst3/CloudReverb.vst3/ usr/lib/vst3/CloudReverb.vst3/Contents/ usr/lib/vst3/CloudReverb.vst3/Contents/x86_64-linux/ usr/lib/vst3/CloudReverb.vst3/Contents/x86_64-linux/CloudReverb.so usr/share/ usr/share/doc/ usr/share/doc/cloudreverb/ usr/share/doc/cloudreverb/README.md usr/share/doc/cloudreverb/screenshots/ usr/share/doc/cloudreverb/screenshots/screenshot_01.png usr/share/licenses/ usr/share/licenses/cloudreverb/ usr/share/licenses/cloudreverb/LICENSE
aarch64collisiondrive.lv20.1-1A modern overdrive with built-in noise gate guitar pedal LV2 plugin
Close

collisiondrive.lv2 0.1-1


Architecture:aarch64
Base Package:collisiondrive.lv2 (PKGBUILD)
Description:A modern overdrive with built-in noise gate guitar pedal LV2 plugin
Upstream URL:https://github.com/brummer10/CollisionDrive
Groups:lv2-plugins
pro-audio
Download Size:1.2 MB (Download)
Installed Size:1.5 MB
Licenses:0BSD
GPL3
Build Date:2023-11-27 09:35 UTC
Dependencies:cairo
gcc-libs
glibc
libx11
lv2-host: for loading the LV2 plugin (optional)
lv2 (make)
xxd (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/CollisionDrive.lv2/ usr/lib/lv2/CollisionDrive.lv2/CollisionDrive_ui.so usr/lib/lv2/CollisionDrive.lv2/CollisionDrive.so usr/lib/lv2/CollisionDrive.lv2/CollisionDrive.ttl usr/lib/lv2/CollisionDrive.lv2/manifest.ttl usr/share/ usr/share/doc/ usr/share/doc/collisiondrive.lv2/ usr/share/doc/collisiondrive.lv2/CollisionDrive.png usr/share/doc/collisiondrive.lv2/README.md usr/share/licenses/ usr/share/licenses/collisiondrive.lv2/ usr/share/licenses/collisiondrive.lv2/LICENSE.txt
x86_64collisiondrive.lv20.1-1A modern overdrive with built-in noise gate guitar pedal LV2 plugin
Close

collisiondrive.lv2 0.1-1


Architecture:x86_64
Base Package:collisiondrive.lv2 (PKGBUILD)
Description:A modern overdrive with built-in noise gate guitar pedal LV2 plugin
Upstream URL:https://github.com/brummer10/CollisionDrive
Groups:lv2-plugins
pro-audio
Download Size:1.3 MB (Download)
Installed Size:1.5 MB
Licenses:0BSD
GPL3
Build Date:2023-11-27 09:35 UTC
Dependencies:cairo
gcc-libs
glibc
libx11
lv2-host: for loading the LV2 plugin (optional)
lv2 (make)
xxd (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/CollisionDrive.lv2/ usr/lib/lv2/CollisionDrive.lv2/CollisionDrive_ui.so usr/lib/lv2/CollisionDrive.lv2/CollisionDrive.so usr/lib/lv2/CollisionDrive.lv2/CollisionDrive.ttl usr/lib/lv2/CollisionDrive.lv2/manifest.ttl usr/share/ usr/share/doc/ usr/share/doc/collisiondrive.lv2/ usr/share/doc/collisiondrive.lv2/CollisionDrive.png usr/share/doc/collisiondrive.lv2/README.md usr/share/licenses/ usr/share/licenses/collisiondrive.lv2/ usr/share/licenses/collisiondrive.lv2/LICENSE.txt
aarch64convertwithmoss11.1.0-1A tool for converting multi-samples from one format to another
Close

convertwithmoss 11.1.0-1


Architecture:aarch64
Base Package:convertwithmoss (PKGBUILD)
Description:A tool for converting multi-samples from one format to another
Upstream URL:https://mossgrabers.de/Software/ConvertWithMoss/ConvertWithMoss.html
Download Size:48.8 MB (Download)
Installed Size:50.7 MB
License:LGPL-3.0-only
Build Date:2024-10-06 13:56 UTC
Dependencies:java-runtime>=16
sh
maven (make)
Package Contents
usr/ usr/bin/ usr/bin/convertwithmoss usr/share/ usr/share/applications/ usr/share/applications/de.mossgrabers.ConvertWithMoss.desktop usr/share/java/ usr/share/java/convertwithmoss/ usr/share/java/convertwithmoss/convertwithmoss-11.1.0.jar usr/share/java/convertwithmoss/convertwithmoss.jar usr/share/java/convertwithmoss/java-vorbis-support-1.2.1.jar usr/share/java/convertwithmoss/javafx-base-23-ea+22-linux-aarch64.jar usr/share/java/convertwithmoss/javafx-base-23-ea+22.jar usr/share/java/convertwithmoss/javafx-controls-23-ea+22-linux-aarch64.jar usr/share/java/convertwithmoss/javafx-controls-23-ea+22.jar usr/share/java/convertwithmoss/javafx-graphics-23-ea+22-linux-aarch64.jar usr/share/java/convertwithmoss/javafx-graphics-23-ea+22.jar usr/share/java/convertwithmoss/javafx-media-23-ea+22-linux-aarch64.jar usr/share/java/convertwithmoss/javafx-media-23-ea+22.jar usr/share/java/convertwithmoss/javafx-web-23-ea+22-linux-aarch64.jar usr/share/java/convertwithmoss/javafx-web-23-ea+22.jar usr/share/java/convertwithmoss/javasound-flac-1.4.1.jar usr/share/java/convertwithmoss/uitools-1.5.0.jar usr/share/metainfo/ usr/share/metainfo/de.mossgrabers.ConvertWithMoss.appdata.xml usr/share/pixmaps/ usr/share/pixmaps/convertwithmoss.png
x86_64convertwithmoss11.1.0-1A tool for converting multi-samples from one format to another
Close

convertwithmoss 11.1.0-1


Architecture:x86_64
Base Package:convertwithmoss (PKGBUILD)
Description:A tool for converting multi-samples from one format to another
Upstream URL:https://mossgrabers.de/Software/ConvertWithMoss/ConvertWithMoss.html
Download Size:50.7 MB (Download)
Installed Size:52.1 MB
License:LGPL-3.0-only
Build Date:2024-10-06 13:56 UTC
Dependencies:java-runtime>=16
sh
maven (make)
Package Contents
usr/ usr/bin/ usr/bin/convertwithmoss usr/share/ usr/share/applications/ usr/share/applications/de.mossgrabers.ConvertWithMoss.desktop usr/share/java/ usr/share/java/convertwithmoss/ usr/share/java/convertwithmoss/convertwithmoss-11.1.0.jar usr/share/java/convertwithmoss/convertwithmoss.jar usr/share/java/convertwithmoss/java-vorbis-support-1.2.1.jar usr/share/java/convertwithmoss/javafx-base-23-ea+22-linux.jar usr/share/java/convertwithmoss/javafx-base-23-ea+22.jar usr/share/java/convertwithmoss/javafx-controls-23-ea+22-linux.jar usr/share/java/convertwithmoss/javafx-controls-23-ea+22.jar usr/share/java/convertwithmoss/javafx-graphics-23-ea+22-linux.jar usr/share/java/convertwithmoss/javafx-graphics-23-ea+22.jar usr/share/java/convertwithmoss/javafx-media-23-ea+22-linux.jar usr/share/java/convertwithmoss/javafx-media-23-ea+22.jar usr/share/java/convertwithmoss/javafx-web-23-ea+22-linux.jar usr/share/java/convertwithmoss/javafx-web-23-ea+22.jar usr/share/java/convertwithmoss/javasound-flac-1.4.1.jar usr/share/java/convertwithmoss/uitools-1.5.0.jar usr/share/metainfo/ usr/share/metainfo/de.mossgrabers.ConvertWithMoss.appdata.xml usr/share/pixmaps/ usr/share/pixmaps/convertwithmoss.png
aarch64darkice1.5-1Reads live audio from backends, encodes it and streams it to a server
Close

darkice 1.5-1


Architecture:aarch64
Base Package:darkice (PKGBUILD)
Description:Reads live audio from backends, encodes it and streams it to a server
Upstream URL:http://www.darkice.org/
Download Size:143.5 kB (Download)
Installed Size:537.9 kB
License:GPL-3.0-or-later
Build Date:2024-06-19 12:03 UTC
Dependencies:gcc-libs
glibc
libasound.so=2-64
libfaac.so=0-64
libFLAC.so=12-64
libjack.so=0-64
libmp3lame.so=0-64
libogg.so=0-64
libopus.so=0-64
libpulse-simple.so=0-64
libpulse.so=0-64
libsamplerate.so=0-64
libtwolame.so=0-64
libvorbis.so=0-64
libvorbisenc.so=2-64
alsa-lib (make)
faac (make)
flac (make)
jack (make)
lame (make)
libpulse (make)
libsamplerate (make)
libvorbis (make)
opus (make)
twolame (make)
Package Contents
etc/ etc/darkice.cfg usr/ usr/bin/ usr/bin/darkice usr/lib/ usr/lib/systemd/ usr/lib/systemd/user/ usr/lib/systemd/user/darkice@.service usr/share/ usr/share/man/ usr/share/man/man1/ usr/share/man/man1/darkice.1.gz usr/share/man/man5/ usr/share/man/man5/darkice.cfg.5.gz
x86_64darkice1.5-1Reads live audio from backends, encodes it and streams it to a server
Close

darkice 1.5-1


Architecture:x86_64
Base Package:darkice (PKGBUILD)
Description:Reads live audio from backends, encodes it and streams it to a server
Upstream URL:http://www.darkice.org/
Download Size:138.2 kB (Download)
Installed Size:369.9 kB
License:GPL-3.0-or-later
Build Date:2024-06-19 12:03 UTC
Dependencies:gcc-libs
glibc
libasound.so=2-64
libfaac.so=0-64
libFLAC.so=12-64
libjack.so=0-64
libmp3lame.so=0-64
libogg.so=0-64
libopus.so=0-64
libpulse-simple.so=0-64
libpulse.so=0-64
libsamplerate.so=0-64
libtwolame.so=0-64
libvorbis.so=0-64
libvorbisenc.so=2-64
alsa-lib (make)
faac (make)
flac (make)
jack (make)
lame (make)
libpulse (make)
libsamplerate (make)
libvorbis (make)
opus (make)
twolame (make)
Package Contents
etc/ etc/darkice.cfg usr/ usr/bin/ usr/bin/darkice usr/lib/ usr/lib/systemd/ usr/lib/systemd/user/ usr/lib/systemd/user/darkice@.service usr/share/ usr/share/man/ usr/share/man/man1/ usr/share/man/man1/darkice.1.gz usr/share/man/man5/ usr/share/man/man5/darkice.cfg.5.gz
aarch64die-plugins1.1-3DISTRHO Imported Effect Plugins, Ardour's plugins without Ardour
Close

die-plugins 1.1-3


Architecture:aarch64
Base Package:die-plugins (PKGBUILD)
Description:DISTRHO Imported Effect Plugins, Ardour's plugins without Ardour
Upstream URL:https://github.com/DISTRHO/DIE-Plugins
Groups:lv2-plugins
pro-audio
Download Size:96.8 kB (Download)
Installed Size:302.1 kB
License:GPL2
Build Date:2022-10-03 21:44 UTC
Dependencies:gcc-libs
glibc
libglib-2.0.so=0-64
libsndfile.so=1-64
lv2-host: for loading LV2 plugins (optional)
git (make)
glib2 (make)
libsndfile (make)
lv2 (make)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/distrho-a-comp.lv2/ usr/lib/lv2/distrho-a-comp.lv2/a-comp.so usr/lib/lv2/distrho-a-comp.lv2/a-comp.ttl usr/lib/lv2/distrho-a-comp.lv2/a-comp#stereo.ttl usr/lib/lv2/distrho-a-comp.lv2/manifest.ttl usr/lib/lv2/distrho-a-comp.lv2/presets.ttl usr/lib/lv2/distrho-a-delay.lv2/ usr/lib/lv2/distrho-a-delay.lv2/a-delay.so usr/lib/lv2/distrho-a-delay.lv2/a-delay.ttl usr/lib/lv2/distrho-a-delay.lv2/manifest.ttl usr/lib/lv2/distrho-a-delay.lv2/presets.ttl usr/lib/lv2/distrho-a-eq.lv2/ usr/lib/lv2/distrho-a-eq.lv2/a-eq.so usr/lib/lv2/distrho-a-eq.lv2/a-eq.ttl usr/lib/lv2/distrho-a-eq.lv2/manifest.ttl usr/lib/lv2/distrho-a-exp.lv2/ usr/lib/lv2/distrho-a-exp.lv2/a-exp.so usr/lib/lv2/distrho-a-exp.lv2/a-exp.ttl usr/lib/lv2/distrho-a-exp.lv2/a-exp#stereo.ttl usr/lib/lv2/distrho-a-exp.lv2/manifest.ttl usr/lib/lv2/distrho-a-fluidsynth.lv2/ usr/lib/lv2/distrho-a-fluidsynth.lv2/a-fluidsynth.so usr/lib/lv2/distrho-a-fluidsynth.lv2/a-fluidsynth.ttl usr/lib/lv2/distrho-a-fluidsynth.lv2/manifest.ttl usr/lib/lv2/distrho-a-reverb.lv2/ usr/lib/lv2/distrho-a-reverb.lv2/a-reverb.so usr/lib/lv2/distrho-a-reverb.lv2/a-reverb.ttl usr/lib/lv2/distrho-a-reverb.lv2/manifest.ttl
x86_64die-plugins1.1-3DISTRHO Imported Effect Plugins, Ardour's plugins without Ardour
Close

die-plugins 1.1-3


Architecture:x86_64
Base Package:die-plugins (PKGBUILD)
Description:DISTRHO Imported Effect Plugins, Ardour's plugins without Ardour
Upstream URL:https://github.com/DISTRHO/DIE-Plugins
Groups:lv2-plugins
pro-audio
Download Size:133.7 kB (Download)
Installed Size:318.2 kB
License:GPL2
Build Date:2022-10-03 21:44 UTC
Dependencies:gcc-libs
glibc
libglib-2.0.so=0-64
libsndfile.so=1-64
lv2-host: for loading LV2 plugins (optional)
git (make)
glib2 (make)
libsndfile (make)
lv2 (make)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/distrho-a-comp.lv2/ usr/lib/lv2/distrho-a-comp.lv2/a-comp.so usr/lib/lv2/distrho-a-comp.lv2/a-comp.ttl usr/lib/lv2/distrho-a-comp.lv2/a-comp#stereo.ttl usr/lib/lv2/distrho-a-comp.lv2/manifest.ttl usr/lib/lv2/distrho-a-comp.lv2/presets.ttl usr/lib/lv2/distrho-a-delay.lv2/ usr/lib/lv2/distrho-a-delay.lv2/a-delay.so usr/lib/lv2/distrho-a-delay.lv2/a-delay.ttl usr/lib/lv2/distrho-a-delay.lv2/manifest.ttl usr/lib/lv2/distrho-a-delay.lv2/presets.ttl usr/lib/lv2/distrho-a-eq.lv2/ usr/lib/lv2/distrho-a-eq.lv2/a-eq.so usr/lib/lv2/distrho-a-eq.lv2/a-eq.ttl usr/lib/lv2/distrho-a-eq.lv2/manifest.ttl usr/lib/lv2/distrho-a-exp.lv2/ usr/lib/lv2/distrho-a-exp.lv2/a-exp.so usr/lib/lv2/distrho-a-exp.lv2/a-exp.ttl usr/lib/lv2/distrho-a-exp.lv2/a-exp#stereo.ttl usr/lib/lv2/distrho-a-exp.lv2/manifest.ttl usr/lib/lv2/distrho-a-fluidsynth.lv2/ usr/lib/lv2/distrho-a-fluidsynth.lv2/a-fluidsynth.so usr/lib/lv2/distrho-a-fluidsynth.lv2/a-fluidsynth.ttl usr/lib/lv2/distrho-a-fluidsynth.lv2/manifest.ttl usr/lib/lv2/distrho-a-reverb.lv2/ usr/lib/lv2/distrho-a-reverb.lv2/a-reverb.so usr/lib/lv2/distrho-a-reverb.lv2/a-reverb.ttl usr/lib/lv2/distrho-a-reverb.lv2/manifest.ttl
anydxconvert3.2.2-2A file conversion and manipulation toolkit for Yamaha FM synth patches
Close

dxconvert 3.2.2-2


Architecture:any
Base Package:dxconvert (PKGBUILD)
Description:A file conversion and manipulation toolkit for Yamaha FM synth patches
Upstream URL:http://dxconvert.martintarenskeen.nl/
Groups:pro-audio
Download Size:298.1 kB (Download)
Installed Size:971.1 kB
License:GPL-3.0-only
Build Date:2024-10-17 10:29 UTC
Dependencies:python
python-qrcode: convert patches from SysEx to QR codes (optional)
tk: dxconvert and txconvert GUI (optional)
zbar: convert patches from QR codes to SysEx (optional)
Package Contents
usr/ usr/bin/ usr/bin/dxconvert usr/bin/dxconvert-dx7iifd2syx usr/bin/dxconvert-dx7iisyx2fd usr/bin/dxconvert-gui usr/bin/dxconvert-mid2syx usr/bin/dxconvert-qr2syx usr/bin/dxconvert-syx2mid usr/bin/dxconvert-syx2qr usr/bin/dxconvert-v50convert usr/bin/txconvert usr/bin/txconvert-gui usr/lib/ usr/lib/python3.12/ usr/lib/python3.12/site-packages/ usr/lib/python3.12/site-packages/DXconvert/ usr/lib/python3.12/site-packages/DXconvert/__pycache__/ usr/lib/python3.12/site-packages/DXconvert/__pycache__/bohmorla.cpython-312.pyc usr/lib/python3.12/site-packages/DXconvert/__pycache__/dx200.cpython-312.pyc usr/lib/python3.12/site-packages/DXconvert/__pycache__/dx7.cpython-312.pyc usr/lib/python3.12/site-packages/DXconvert/__pycache__/dx9.cpython-312.pyc usr/lib/python3.12/site-packages/DXconvert/__pycache__/DXC.cpython-312.pyc usr/lib/python3.12/site-packages/DXconvert/__pycache__/dxcommon.cpython-312.pyc usr/lib/python3.12/site-packages/DXconvert/__pycache__/elka.cpython-312.pyc usr/lib/python3.12/site-packages/DXconvert/__pycache__/fb01.cpython-312.pyc usr/lib/python3.12/site-packages/DXconvert/__pycache__/fourop.cpython-312.pyc usr/lib/python3.12/site-packages/DXconvert/__pycache__/korg.cpython-312.pyc usr/lib/python3.12/site-packages/DXconvert/__pycache__/korgz3.cpython-312.pyc usr/lib/python3.12/site-packages/DXconvert/__pycache__/pssx80.cpython-312.pyc usr/lib/python3.12/site-packages/DXconvert/__pycache__/reface.cpython-312.pyc usr/lib/python3.12/site-packages/DXconvert/__pycache__/syxmidi.cpython-312.pyc usr/lib/python3.12/site-packages/DXconvert/__pycache__/tx7.cpython-312.pyc usr/lib/python3.12/site-packages/DXconvert/__pycache__/TXC.cpython-312.pyc usr/lib/python3.12/site-packages/DXconvert/__pycache__/vopm.cpython-312.pyc usr/lib/python3.12/site-packages/DXconvert/__pycache__/wav2syx.cpython-312.pyc usr/lib/python3.12/site-packages/DXconvert/bohmorla.py usr/lib/python3.12/site-packages/DXconvert/dx200.py usr/lib/python3.12/site-packages/DXconvert/dx7.py usr/lib/python3.12/site-packages/DXconvert/dx9.py usr/lib/python3.12/site-packages/DXconvert/DXC.py usr/lib/python3.12/site-packages/DXconvert/dxcommon.py usr/lib/python3.12/site-packages/DXconvert/dxconvert.gif usr/lib/python3.12/site-packages/DXconvert/dxconvert.help usr/lib/python3.12/site-packages/DXconvert/elka.py usr/lib/python3.12/site-packages/DXconvert/fb01.py usr/lib/python3.12/site-packages/DXconvert/fourop.py usr/lib/python3.12/site-packages/DXconvert/korg.py usr/lib/python3.12/site-packages/DXconvert/korgz3.py usr/lib/python3.12/site-packages/DXconvert/pssx80.py usr/lib/python3.12/site-packages/DXconvert/reface.py usr/lib/python3.12/site-packages/DXconvert/syxmidi.py usr/lib/python3.12/site-packages/DXconvert/tx7.py usr/lib/python3.12/site-packages/DXconvert/TXC.py usr/lib/python3.12/site-packages/DXconvert/txconvert.gif usr/lib/python3.12/site-packages/DXconvert/txconvert.help usr/lib/python3.12/site-packages/DXconvert/vopm.py usr/lib/python3.12/site-packages/DXconvert/wav2syx.py usr/share/ usr/share/doc/ usr/share/doc/dxconvert/ usr/share/doc/dxconvert/CHANGES.txt usr/share/doc/dxconvert/FORMATS.txt usr/share/doc/dxconvert/README-Tools.txt usr/share/doc/dxconvert/README-wav2cas.txt usr/share/doc/dxconvert/README.txt
aarch64fatfrog.lv21.0-3A high gain valve amplifier simulation LV2 plugin
Close

fatfrog.lv2 1.0-3


Architecture:aarch64
Base Package:fatfrog.lv2 (PKGBUILD)
Description:A high gain valve amplifier simulation LV2 plugin
Upstream URL:https://github.com/brummer10/FatFrog.lv2
Groups:lv2-plugins
pro-audio
Download Size:146.6 kB (Download)
Installed Size:242.8 kB
License:custom:0BSD
Build Date:2022-11-05 14:13 UTC
Dependencies:cairo
gcc-libs
libx11
lv2-host: for loading the LV2 plugin (optional)
lv2 (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/FatFrog.lv2/ usr/lib/lv2/FatFrog.lv2/FatFrog_ui.so usr/lib/lv2/FatFrog.lv2/FatFrog.so usr/lib/lv2/FatFrog.lv2/FatFrog.ttl usr/lib/lv2/FatFrog.lv2/manifest.ttl usr/share/ usr/share/doc/ usr/share/doc/fatfrog.lv2/ usr/share/doc/fatfrog.lv2/FatFrog.png usr/share/doc/fatfrog.lv2/README.md usr/share/licenses/ usr/share/licenses/fatfrog.lv2/ usr/share/licenses/fatfrog.lv2/LICENSE
x86_64fatfrog.lv21.0-3A high gain valve amplifier simulation LV2 plugin
Close

fatfrog.lv2 1.0-3


Architecture:x86_64
Base Package:fatfrog.lv2 (PKGBUILD)
Description:A high gain valve amplifier simulation LV2 plugin
Upstream URL:https://github.com/brummer10/FatFrog.lv2
Groups:lv2-plugins
pro-audio
Download Size:173.8 kB (Download)
Installed Size:275.6 kB
License:custom:0BSD
Build Date:2022-11-06 22:45 UTC
Dependencies:cairo
gcc-libs
libx11
lv2-host: for loading the LV2 plugin (optional)
lv2 (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/FatFrog.lv2/ usr/lib/lv2/FatFrog.lv2/FatFrog_ui.so usr/lib/lv2/FatFrog.lv2/FatFrog.so usr/lib/lv2/FatFrog.lv2/FatFrog.ttl usr/lib/lv2/FatFrog.lv2/manifest.ttl usr/share/ usr/share/doc/ usr/share/doc/fatfrog.lv2/ usr/share/doc/fatfrog.lv2/FatFrog.png usr/share/doc/fatfrog.lv2/README.md usr/share/licenses/ usr/share/licenses/fatfrog.lv2/ usr/share/licenses/fatfrog.lv2/LICENSE
aarch64faustlive2.5.19-1Faust prototyping environment
Close

faustlive 2.5.19-1


Architecture:aarch64
Base Package:faustlive (PKGBUILD)
Description:Faust prototyping environment
Upstream URL:https://github.com/grame-cncm/faustlive
Groups:pro-audio
Download Size:3.4 MB (Download)
Installed Size:7.7 MB
License:GPL-3.0-only
Build Date:2024-09-19 20:48 UTC
Dependencies:hicolor-icon-theme
libasound.so=2-64
libcurl.so=4-64
libfaust.so=2-64
libHTTPDFaust.so=0-64
libjack.so=0-64
libmicrohttpd.so=12-64
libOSCFaust.so=1-64
libsndfile.so=1-64
qt6-base
alsa-lib (make)
cmake (make)
faust (make)
jack (make)
libmicrohttpd (make)
libsndfile (make)
Package Contents
usr/ usr/bin/ usr/bin/FaustLive usr/share/ usr/share/applications/ usr/share/applications/FaustLive.desktop usr/share/doc/ usr/share/doc/faustlive/ usr/share/doc/faustlive/faust-quick-reference.pdf usr/share/doc/faustlive/UserManual.pdf usr/share/icons/ usr/share/icons/hicolor/ usr/share/icons/hicolor/32x32/ usr/share/icons/hicolor/32x32/apps/ usr/share/icons/hicolor/32x32/apps/FaustLiveIcon.png usr/share/icons/hicolor/scalable/ usr/share/icons/hicolor/scalable/apps/ usr/share/icons/hicolor/scalable/apps/Faustlive.svg usr/share/pixmaps/ usr/share/pixmaps/Faustlive.xpm
x86_64faustlive2.5.19-1Faust prototyping environment
Close

faustlive 2.5.19-1


Architecture:x86_64
Base Package:faustlive (PKGBUILD)
Description:Faust prototyping environment
Upstream URL:https://github.com/grame-cncm/faustlive
Groups:pro-audio
Download Size:5.9 MB (Download)
Installed Size:7.3 MB
License:GPL-3.0-only
Build Date:2024-09-19 20:48 UTC
Dependencies:hicolor-icon-theme
libasound.so=2-64
libcurl.so=4-64
libfaust.so=2-64
libHTTPDFaust.so=0-64
libjack.so=0-64
libmicrohttpd.so=12-64
libOSCFaust.so=1-64
libsndfile.so=1-64
qt6-base
alsa-lib (make)
cmake (make)
faust (make)
jack (make)
libmicrohttpd (make)
libsndfile (make)
Package Contents
usr/ usr/bin/ usr/bin/FaustLive usr/share/ usr/share/applications/ usr/share/applications/FaustLive.desktop usr/share/doc/ usr/share/doc/faustlive/ usr/share/doc/faustlive/faust-quick-reference.pdf usr/share/doc/faustlive/UserManual.pdf usr/share/icons/ usr/share/icons/hicolor/ usr/share/icons/hicolor/32x32/ usr/share/icons/hicolor/32x32/apps/ usr/share/icons/hicolor/32x32/apps/FaustLiveIcon.png usr/share/icons/hicolor/scalable/ usr/share/icons/hicolor/scalable/apps/ usr/share/icons/hicolor/scalable/apps/Faustlive.svg usr/share/pixmaps/ usr/share/pixmaps/Faustlive.xpm
aarch64fluida.lv20.9.3-1An LV2 plugin which wraps the fluidsynth SF2 soundfont player
Close

fluida.lv2 0.9.3-1


Architecture:aarch64
Base Package:fluida.lv2 (PKGBUILD)
Description:An LV2 plugin which wraps the fluidsynth SF2 soundfont player
Upstream URL:https://github.com/brummer10/Fluida.lv2
Groups:lv2-plugins
pro-audio
Download Size:407.9 kB (Download)
Installed Size:890.9 kB
Licenses:GPL2
MIT
Build Date:2024-10-06 14:07 UTC
Dependencies:cairo
gcc-libs
glibc
libfluidsynth.so=3-64
libx11
lv2-host: for loading the LV2 format plugin (optional)
fluidsynth (make)
lv2 (make)
xxd (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/Fluida.lv2/ usr/lib/lv2/Fluida.lv2/Fluida_ui.so usr/lib/lv2/Fluida.lv2/Fluida.so usr/lib/lv2/Fluida.lv2/Fluida.ttl usr/lib/lv2/Fluida.lv2/manifest.ttl usr/share/ usr/share/doc/ usr/share/doc/fluida.lv2/ usr/share/doc/fluida.lv2/README.md usr/share/licenses/ usr/share/licenses/fluida.lv2/ usr/share/licenses/fluida.lv2/LICENSE-libscala-file usr/share/licenses/fluida.lv2/LICENSE.txt
x86_64fluida.lv20.9.3-1An LV2 plugin which wraps the fluidsynth SF2 soundfont player
Close

fluida.lv2 0.9.3-1


Architecture:x86_64
Base Package:fluida.lv2 (PKGBUILD)
Description:An LV2 plugin which wraps the fluidsynth SF2 soundfont player
Upstream URL:https://github.com/brummer10/Fluida.lv2
Groups:lv2-plugins
pro-audio
Download Size:355.4 kB (Download)
Installed Size:640.2 kB
Licenses:GPL2
MIT
Build Date:2024-10-06 14:07 UTC
Dependencies:cairo
gcc-libs
glibc
libfluidsynth.so=3-64
libx11
lv2-host: for loading the LV2 format plugin (optional)
fluidsynth (make)
lv2 (make)
xxd (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/Fluida.lv2/ usr/lib/lv2/Fluida.lv2/Fluida_ui.so usr/lib/lv2/Fluida.lv2/Fluida.so usr/lib/lv2/Fluida.lv2/Fluida.ttl usr/lib/lv2/Fluida.lv2/manifest.ttl usr/share/ usr/share/doc/ usr/share/doc/fluida.lv2/ usr/share/doc/fluida.lv2/README.md usr/share/licenses/ usr/share/licenses/fluida.lv2/ usr/share/licenses/fluida.lv2/LICENSE-libscala-file usr/share/licenses/fluida.lv2/LICENSE.txt
aarch64fogpad1.0.0-2A reverb in which reflections can be frozen, filtered, pitch shifted and disintegrated
Close

fogpad 1.0.0-2


Architecture:aarch64
Base Package:fogpad (PKGBUILD)
Description:A reverb in which reflections can be frozen, filtered, pitch shifted and disintegrated
Upstream URL:https://github.com/linuxmao-org/fogpad-port
Groups:lv2-plugins
pro-audio
vst-plugins
Provides:fogpad.lv2
Download Size:114.2 kB (Download)
Installed Size:537.3 kB
License:MIT
Build Date:2022-11-04 13:56 UTC
Dependencies:libjack.so=0-64
jack: for running the JACK stand-alone application (optional)
lv2-host: for loading the LV2 plugin (optional)
vst-host: for loading the VST2 plugin (optional)
jack (make)
libglvnd (make)
Package Contents
usr/ usr/bin/ usr/bin/fogpad usr/lib/ usr/lib/lv2/ usr/lib/lv2/fogpad.lv2/ usr/lib/lv2/fogpad.lv2/fogpad_dsp.so usr/lib/lv2/fogpad.lv2/fogpad_dsp.ttl usr/lib/lv2/fogpad.lv2/fogpad_ui.so usr/lib/lv2/fogpad.lv2/fogpad_ui.ttl usr/lib/lv2/fogpad.lv2/manifest.ttl usr/lib/vst/ usr/lib/vst/fogpad-vst.so usr/share/ usr/share/licenses/ usr/share/licenses/fogpad/ usr/share/licenses/fogpad/LICENSE
x86_64fogpad1.0.0-2A reverb in which reflections can be frozen, filtered, pitch shifted and disintegrated
Close

fogpad 1.0.0-2


Architecture:x86_64
Base Package:fogpad (PKGBUILD)
Description:A reverb in which reflections can be frozen, filtered, pitch shifted and disintegrated
Upstream URL:https://github.com/linuxmao-org/fogpad-port
Groups:lv2-plugins
pro-audio
vst-plugins
Provides:fogpad.lv2
Download Size:154.4 kB (Download)
Installed Size:528.9 kB
License:MIT
Build Date:2022-11-04 13:56 UTC
Dependencies:libjack.so=0-64
jack: for running the JACK stand-alone application (optional)
lv2-host: for loading the LV2 plugin (optional)
vst-host: for loading the VST2 plugin (optional)
jack (make)
libglvnd (make)
Package Contents
usr/ usr/bin/ usr/bin/fogpad usr/lib/ usr/lib/lv2/ usr/lib/lv2/fogpad.lv2/ usr/lib/lv2/fogpad.lv2/fogpad_dsp.so usr/lib/lv2/fogpad.lv2/fogpad_dsp.ttl usr/lib/lv2/fogpad.lv2/fogpad_ui.so usr/lib/lv2/fogpad.lv2/fogpad_ui.ttl usr/lib/lv2/fogpad.lv2/manifest.ttl usr/lib/vst/ usr/lib/vst/fogpad-vst.so usr/share/ usr/share/licenses/ usr/share/licenses/fogpad/ usr/share/licenses/fogpad/LICENSE
aarch64freemajor1.0-3Graphical editor for the TC Electronic G-Major guitar effect module
Close

freemajor 1.0-3


Architecture:aarch64
Base Package:freemajor (PKGBUILD)
Description:Graphical editor for the TC Electronic G-Major guitar effect module
Upstream URL:https://github.com/linuxmao-org/FreeMajor/
Groups:pro-audio
Download Size:461.3 kB (Download)
Installed Size:1.0 MB
License:Boost
Build Date:2023-08-27 10:17 UTC
Dependencies:gcc-libs
glibc
libasound.so=2-64
libfltk.so=1.3-64
libjack.so=0-64
alsa-lib (make)
cmake (make)
fltk (make)
jack (make)
Package Contents
usr/ usr/bin/ usr/bin/FreeMajor usr/share/ usr/share/applications/ usr/share/applications/FreeMajor.desktop usr/share/doc/ usr/share/doc/freemajor/ usr/share/doc/freemajor/manual/ usr/share/doc/freemajor/manual/en/ usr/share/doc/freemajor/manual/en/manual.html usr/share/doc/freemajor/manual/en/manual.txt usr/share/doc/freemajor/manual/fr/ usr/share/doc/freemajor/manual/fr/manual.html usr/share/doc/freemajor/manual/fr/manual.txt usr/share/doc/freemajor/manual/resources/ usr/share/doc/freemajor/manual/resources/en/ usr/share/doc/freemajor/manual/resources/en/infos.png usr/share/doc/freemajor/manual/resources/en/midi.png usr/share/doc/freemajor/manual/resources/en/modifiers.png usr/share/doc/freemajor/manual/resources/en/parameters.png usr/share/doc/freemajor/manual/resources/en/presets.png usr/share/doc/freemajor/manual/resources/en/screen.png usr/share/doc/freemajor/manual/resources/fr/ usr/share/doc/freemajor/manual/resources/fr/infos.png usr/share/doc/freemajor/manual/resources/fr/midi.png usr/share/doc/freemajor/manual/resources/fr/modifiers.png usr/share/doc/freemajor/manual/resources/fr/parameters.png usr/share/doc/freemajor/manual/resources/fr/presets.png usr/share/doc/freemajor/manual/resources/fr/screen.png usr/share/doc/freemajor/README.md usr/share/locale/ usr/share/locale/fr/ usr/share/locale/fr/LC_MESSAGES/ usr/share/locale/fr/LC_MESSAGES/FreeMajor.mo usr/share/mime/ usr/share/mime/packages/ usr/share/mime/packages/freemajor-realmajor.xml usr/share/mime/packages/freemajor-realpatch.xml usr/share/pixmaps/ usr/share/pixmaps/FreeMajor.png usr/share/pixmaps/FreeMajor.svg
x86_64freemajor1.0-3Graphical editor for the TC Electronic G-Major guitar effect module
Close

freemajor 1.0-3


Architecture:x86_64
Base Package:freemajor (PKGBUILD)
Description:Graphical editor for the TC Electronic G-Major guitar effect module
Upstream URL:https://github.com/linuxmao-org/FreeMajor/
Groups:pro-audio
Download Size:532.8 kB (Download)
Installed Size:1.0 MB
License:Boost
Build Date:2023-08-27 10:17 UTC
Dependencies:gcc-libs
glibc
libasound.so=2-64
libfltk.so=1.3-64
libjack.so=0-64
alsa-lib (make)
cmake (make)
fltk (make)
jack (make)
Package Contents
usr/ usr/bin/ usr/bin/FreeMajor usr/share/ usr/share/applications/ usr/share/applications/FreeMajor.desktop usr/share/doc/ usr/share/doc/freemajor/ usr/share/doc/freemajor/manual/ usr/share/doc/freemajor/manual/en/ usr/share/doc/freemajor/manual/en/manual.html usr/share/doc/freemajor/manual/en/manual.txt usr/share/doc/freemajor/manual/fr/ usr/share/doc/freemajor/manual/fr/manual.html usr/share/doc/freemajor/manual/fr/manual.txt usr/share/doc/freemajor/manual/resources/ usr/share/doc/freemajor/manual/resources/en/ usr/share/doc/freemajor/manual/resources/en/infos.png usr/share/doc/freemajor/manual/resources/en/midi.png usr/share/doc/freemajor/manual/resources/en/modifiers.png usr/share/doc/freemajor/manual/resources/en/parameters.png usr/share/doc/freemajor/manual/resources/en/presets.png usr/share/doc/freemajor/manual/resources/en/screen.png usr/share/doc/freemajor/manual/resources/fr/ usr/share/doc/freemajor/manual/resources/fr/infos.png usr/share/doc/freemajor/manual/resources/fr/midi.png usr/share/doc/freemajor/manual/resources/fr/modifiers.png usr/share/doc/freemajor/manual/resources/fr/parameters.png usr/share/doc/freemajor/manual/resources/fr/presets.png usr/share/doc/freemajor/manual/resources/fr/screen.png usr/share/doc/freemajor/README.md usr/share/locale/ usr/share/locale/fr/ usr/share/locale/fr/LC_MESSAGES/ usr/share/locale/fr/LC_MESSAGES/FreeMajor.mo usr/share/mime/ usr/share/mime/packages/ usr/share/mime/packages/freemajor-realmajor.xml usr/share/mime/packages/freemajor-realpatch.xml usr/share/pixmaps/ usr/share/pixmaps/FreeMajor.png usr/share/pixmaps/FreeMajor.svg
aarch64glasscoder2.0.1-1Minimalist audio encoder for IceCast, Shoutcast and HLS
Close

glasscoder 2.0.1-1


Architecture:aarch64
Base Package:glasscoder (PKGBUILD)
Description:Minimalist audio encoder for IceCast, Shoutcast and HLS
Upstream URL:https://github.com/ElvishArtisan/GlassCoder
Groups:pro-audio
Download Size:337.9 kB (Download)
Installed Size:1.8 MB
License:GPL2
Build Date:2022-06-25 16:31 UTC
Dependencies:curl
hicolor-icon-theme
hpklinux
libasound.so=2-64
libjack.so=0-64
libsamplerate.so=0-64
libsndfile.so=1-64
openssl
qt5-tools
taglib
lame: for MP3 output support (optional)
libfdk-aac: for AAC output support (optional)
libogg: for OGG Opus/Vorbis output support (optional)
libvorbis: for OGG Vorbis output support (optional)
opus: for OGG Opus output support (optional)
twolame: for MP2 output support (optional)
alsa-lib (make)
docbook-xsl (make)
docbook5-xml (make)
flac (make)
jack (make)
lame (make)
libfdk-aac (make)
libogg (make)
libsamplerate (make)
libsndfile (make)
libvorbis (make)
libxslt (make)
opus (make)
python (make)
twolame (make)
Package Contents
usr/ usr/bin/ usr/bin/glasscoder usr/bin/glasscommander usr/bin/glassconv usr/bin/glassgui usr/lib/ usr/lib/rivendell/ usr/lib/rivendell/pypad/ usr/lib/rivendell/pypad/pypad_glasscoder.exemplar usr/lib/rivendell/pypad/pypad_glasscoder.py usr/share/ usr/share/applications/ usr/share/applications/glasscommander.desktop usr/share/applications/glassgui.desktop usr/share/icons/ usr/share/icons/hicolor/ usr/share/icons/hicolor/16x16/ usr/share/icons/hicolor/16x16/apps/ usr/share/icons/hicolor/16x16/apps/glasscoder.png usr/share/icons/hicolor/22x22/ usr/share/icons/hicolor/22x22/apps/ usr/share/icons/hicolor/22x22/apps/glasscoder.png usr/share/icons/hicolor/48x48/ usr/share/icons/hicolor/48x48/apps/ usr/share/icons/hicolor/48x48/apps/glasscoder.png usr/share/man/ usr/share/man/man1/ usr/share/man/man1/glasscoder.1.gz usr/share/man/man1/glasscommander.1.gz usr/share/man/man1/glassgui.1.gz usr/share/man/man7/ usr/share/man/man7/glasscoder-ipc.7.gz
x86_64glasscoder2.0.1-1Minimalist audio encoder for IceCast, Shoutcast and HLS
Close

glasscoder 2.0.1-1


Architecture:x86_64
Base Package:glasscoder (PKGBUILD)
Description:Minimalist audio encoder for IceCast, Shoutcast and HLS
Upstream URL:https://github.com/ElvishArtisan/GlassCoder
Groups:pro-audio
Download Size:477.0 kB (Download)
Installed Size:1.6 MB
License:GPL2
Build Date:2022-06-25 16:31 UTC
Dependencies:curl
hicolor-icon-theme
hpklinux
libasound.so=2-64
libjack.so=0-64
libsamplerate.so=0-64
libsndfile.so=1-64
openssl
qt5-tools
taglib
lame: for MP3 output support (optional)
libfdk-aac: for AAC output support (optional)
libogg: for OGG Opus/Vorbis output support (optional)
libvorbis: for OGG Vorbis output support (optional)
opus: for OGG Opus output support (optional)
twolame: for MP2 output support (optional)
alsa-lib (make)
docbook-xsl (make)
docbook5-xml (make)
flac (make)
jack (make)
lame (make)
libfdk-aac (make)
libogg (make)
libsamplerate (make)
libsndfile (make)
libvorbis (make)
libxslt (make)
opus (make)
python (make)
twolame (make)
Package Contents
usr/ usr/bin/ usr/bin/glasscoder usr/bin/glasscommander usr/bin/glassconv usr/bin/glassgui usr/lib/ usr/lib/rivendell/ usr/lib/rivendell/pypad/ usr/lib/rivendell/pypad/pypad_glasscoder.exemplar usr/lib/rivendell/pypad/pypad_glasscoder.py usr/share/ usr/share/applications/ usr/share/applications/glasscommander.desktop usr/share/applications/glassgui.desktop usr/share/icons/ usr/share/icons/hicolor/ usr/share/icons/hicolor/16x16/ usr/share/icons/hicolor/16x16/apps/ usr/share/icons/hicolor/16x16/apps/glasscoder.png usr/share/icons/hicolor/22x22/ usr/share/icons/hicolor/22x22/apps/ usr/share/icons/hicolor/22x22/apps/glasscoder.png usr/share/icons/hicolor/48x48/ usr/share/icons/hicolor/48x48/apps/ usr/share/icons/hicolor/48x48/apps/glasscoder.png usr/share/man/ usr/share/man/man1/ usr/share/man/man1/glasscoder.1.gz usr/share/man/man1/glasscommander.1.gz usr/share/man/man1/glassgui.1.gz usr/share/man/man7/ usr/share/man/man7/glasscoder-ipc.7.gz
anygnome-shell-extension-gsjackctl2-1GNOME Shell JACK Control
Close

gnome-shell-extension-gsjackctl 2-1


Architecture:any
Base Package:gnome-shell-extension-gsjackctl (PKGBUILD)
Description:GNOME Shell JACK Control
Upstream URL:https://github.com/cbix/gsjackctl
Groups:pro-audio
Download Size:19.8 kB (Download)
Installed Size:58.0 kB
License:GPL2
Build Date:2022-07-24 00:13 UTC
Dependencies:gnome-shell>=3.38
jack2-dbus
a2jmidid: can be controlled by the extension (optional)
Package Contents
usr/ usr/share/ usr/share/gnome-shell/ usr/share/gnome-shell/extensions/ usr/share/gnome-shell/extensions/gsjackctl@cbix.de/ usr/share/gnome-shell/extensions/gsjackctl@cbix.de/extension.js usr/share/gnome-shell/extensions/gsjackctl@cbix.de/gsjackctl/ usr/share/gnome-shell/extensions/gsjackctl@cbix.de/gsjackctl/a2jControl.js usr/share/gnome-shell/extensions/gsjackctl@cbix.de/gsjackctl/control.js usr/share/gnome-shell/extensions/gsjackctl@cbix.de/gsjackctl/extension.js usr/share/gnome-shell/extensions/gsjackctl@cbix.de/gsjackctl/indicator.js usr/share/gnome-shell/extensions/gsjackctl@cbix.de/gsjackctl/status.js usr/share/gnome-shell/extensions/gsjackctl@cbix.de/icons/ usr/share/gnome-shell/extensions/gsjackctl@cbix.de/icons/jack-error-symbolic.svg usr/share/gnome-shell/extensions/gsjackctl@cbix.de/icons/jack-started-symbolic.svg usr/share/gnome-shell/extensions/gsjackctl@cbix.de/icons/jack-stopped-symbolic.svg usr/share/gnome-shell/extensions/gsjackctl@cbix.de/icons/jack-xruns-symbolic.svg usr/share/gnome-shell/extensions/gsjackctl@cbix.de/jack/ usr/share/gnome-shell/extensions/gsjackctl@cbix.de/jack/a2jdbus.js usr/share/gnome-shell/extensions/gsjackctl@cbix.de/jack/jackdbus.js usr/share/gnome-shell/extensions/gsjackctl@cbix.de/LICENSE usr/share/gnome-shell/extensions/gsjackctl@cbix.de/metadata.json usr/share/gnome-shell/extensions/gsjackctl@cbix.de/README.md usr/share/gnome-shell/extensions/gsjackctl@cbix.de/stylesheet.css
aarch64hpklinux4.20.46-2AudioScience HPI (ASIHPI) user space libraries and tools
Close

hpklinux 4.20.46-2


Architecture:aarch64
Base Package:hpklinux (PKGBUILD)
Description:AudioScience HPI (ASIHPI) user space libraries and tools
Upstream URL:https://www.audioscience.com/internet/download/linux_drivers.htm
Groups:pro-audio
Provides:python-audioscience
Download Size:244.2 kB (Download)
Installed Size:2.0 MB
Licenses:GPL-2.0-only
Zlib
Build Date:2024-10-11 14:18 UTC
Dependencies:glibc
python-docopt: for dab_data and dabtest scripts (optional)
python: for python bindings (optional)
python-setuptools (make)
Package Contents
usr/ usr/bin/ usr/bin/asi_firmware_updater usr/bin/asihpi_si4688 usr/bin/asihpiassert usr/bin/asihpibl usr/bin/asihpiplay usr/bin/asihpirds usr/bin/asihpirec usr/bin/asihpitest usr/bin/asihpitune usr/bin/dab_data.py usr/bin/dabtest.py usr/bin/hpicontrol.py usr/bin/hpimixer.py usr/bin/hpisave.py usr/include/ usr/include/asihpi/ usr/include/asihpi/hpi_internal.h usr/include/asihpi/hpi_version.h usr/include/asihpi/hpi.h usr/include/asihpi/hpicheck.h usr/include/asihpi/hpicmn.h usr/include/asihpi/hpidebug.h usr/include/asihpi/hpidspcd.h usr/include/asihpi/hpifilestore.h usr/include/asihpi/hpifirmware.h usr/include/asihpi/hpimsginit.h usr/include/asihpi/hpinet.h usr/include/asihpi/hpios.h usr/include/asihpi/hpirds.h usr/include/asihpi/hpissx2.h usr/include/asihpi/hpiudp_cache.h usr/lib/ usr/lib/libhpi.so usr/lib/libhpi.so.10 usr/lib/libhpi.so.10.3.0 usr/lib/libhpimux.so usr/lib/libhpimux.so.10 usr/lib/libhpimux.so.10.3.0 usr/lib/libhpiudp.so usr/lib/libhpiudp.so.10 usr/lib/libhpiudp.so.10.3.0 usr/lib/python3.12/ usr/lib/python3.12/site-packages/ usr/lib/python3.12/site-packages/audioscience/ usr/lib/python3.12/site-packages/audioscience/__init__.py usr/lib/python3.12/site-packages/audioscience/__pycache__/ usr/lib/python3.12/site-packages/audioscience/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/audioscience/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/audioscience/__pycache__/hpi.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/audioscience/__pycache__/hpi.cpython-312.pyc usr/lib/python3.12/site-packages/audioscience/__pycache__/hpimeters.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/audioscience/__pycache__/hpimeters.cpython-312.pyc usr/lib/python3.12/site-packages/audioscience/__pycache__/scrolled.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/audioscience/__pycache__/scrolled.cpython-312.pyc usr/lib/python3.12/site-packages/audioscience/hpi.py usr/lib/python3.12/site-packages/audioscience/hpimeters.py usr/lib/python3.12/site-packages/audioscience/scrolled.py usr/lib/python3.12/site-packages/hpi-2.0-py3.12.egg-info/ usr/lib/python3.12/site-packages/hpi-2.0-py3.12.egg-info/dependency_links.txt usr/lib/python3.12/site-packages/hpi-2.0-py3.12.egg-info/PKG-INFO usr/lib/python3.12/site-packages/hpi-2.0-py3.12.egg-info/SOURCES.txt usr/lib/python3.12/site-packages/hpi-2.0-py3.12.egg-info/top_level.txt usr/share/ usr/share/licenses/ usr/share/licenses/hpklinux/ usr/share/licenses/hpklinux/LICENSE
x86_64hpklinux4.20.46-2AudioScience HPI (ASIHPI) user space libraries and tools
Close

hpklinux 4.20.46-2


Architecture:x86_64
Base Package:hpklinux (PKGBUILD)
Description:AudioScience HPI (ASIHPI) user space libraries and tools
Upstream URL:https://www.audioscience.com/internet/download/linux_drivers.htm
Groups:pro-audio
Provides:python-audioscience
Download Size:319.5 kB (Download)
Installed Size:1.4 MB
Licenses:GPL-2.0-only
Zlib
Build Date:2024-10-11 14:18 UTC
Dependencies:glibc
python-docopt: for dab_data and dabtest scripts (optional)
python: for python bindings (optional)
python-setuptools (make)
Package Contents
usr/ usr/bin/ usr/bin/asi_firmware_updater usr/bin/asihpi_si4688 usr/bin/asihpiassert usr/bin/asihpibl usr/bin/asihpiplay usr/bin/asihpirds usr/bin/asihpirec usr/bin/asihpitest usr/bin/asihpitune usr/bin/dab_data.py usr/bin/dabtest.py usr/bin/hpicontrol.py usr/bin/hpimixer.py usr/bin/hpisave.py usr/include/ usr/include/asihpi/ usr/include/asihpi/hpi_internal.h usr/include/asihpi/hpi_version.h usr/include/asihpi/hpi.h usr/include/asihpi/hpicheck.h usr/include/asihpi/hpicmn.h usr/include/asihpi/hpidebug.h usr/include/asihpi/hpidspcd.h usr/include/asihpi/hpifilestore.h usr/include/asihpi/hpifirmware.h usr/include/asihpi/hpimsginit.h usr/include/asihpi/hpinet.h usr/include/asihpi/hpios.h usr/include/asihpi/hpirds.h usr/include/asihpi/hpissx2.h usr/include/asihpi/hpiudp_cache.h usr/lib/ usr/lib/libhpi.so usr/lib/libhpi.so.10 usr/lib/libhpi.so.10.3.0 usr/lib/libhpimux.so usr/lib/libhpimux.so.10 usr/lib/libhpimux.so.10.3.0 usr/lib/libhpiudp.so usr/lib/libhpiudp.so.10 usr/lib/libhpiudp.so.10.3.0 usr/lib/python3.12/ usr/lib/python3.12/site-packages/ usr/lib/python3.12/site-packages/audioscience/ usr/lib/python3.12/site-packages/audioscience/__init__.py usr/lib/python3.12/site-packages/audioscience/__pycache__/ usr/lib/python3.12/site-packages/audioscience/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/audioscience/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/audioscience/__pycache__/hpi.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/audioscience/__pycache__/hpi.cpython-312.pyc usr/lib/python3.12/site-packages/audioscience/__pycache__/hpimeters.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/audioscience/__pycache__/hpimeters.cpython-312.pyc usr/lib/python3.12/site-packages/audioscience/__pycache__/scrolled.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/audioscience/__pycache__/scrolled.cpython-312.pyc usr/lib/python3.12/site-packages/audioscience/hpi.py usr/lib/python3.12/site-packages/audioscience/hpimeters.py usr/lib/python3.12/site-packages/audioscience/scrolled.py usr/lib/python3.12/site-packages/hpi-2.0-py3.12.egg-info/ usr/lib/python3.12/site-packages/hpi-2.0-py3.12.egg-info/dependency_links.txt usr/lib/python3.12/site-packages/hpi-2.0-py3.12.egg-info/PKG-INFO usr/lib/python3.12/site-packages/hpi-2.0-py3.12.egg-info/SOURCES.txt usr/lib/python3.12/site-packages/hpi-2.0-py3.12.egg-info/top_level.txt usr/share/ usr/share/licenses/ usr/share/licenses/hpklinux/ usr/share/licenses/hpklinux/LICENSE
aarch64ildaeil1.3-1A mini-plugin host working as a plugin
Close

ildaeil 1.3-1


Architecture:aarch64
Base Package:ildaeil (PKGBUILD)
Description:A mini-plugin host working as a plugin
Upstream URL:https://github.com/DISTRHO/Ildaeil
Groups:clap-plugins
lv2-plugins
pro-audio
vst-plugins
vst3-plugins
Provides:lv2-host
Download Size:5.8 MB (Download)
Installed Size:94.1 MB
License:GPL2
Build Date:2023-07-17 11:36 UTC
Dependencies:carla
gcc-libs
glibc
libbz2.so
libcap.so
libdbus-1.so=3-64
libFLAC.so
libGL.so=1-64
libGLdispatch.so
libGLX.so
libmagic.so=1-64
libogg.so
libopus.so
libsndfile.so=1-64
libsystemd.so
libvorbis.so
libx11
libxcursor
libxrandr
libzstd.so
clap-host: for CLAP plugins (optional)
lv2-host: for LV2 plugins (optional)
vst-host: for VST2 plugins (optional)
vst3-host: for VST3 plugins (optional)
dbus (make)
flac (make)
libglvnd (make)
libogg (make)
libsndfile (make)
libvorbis (make)
opus (make)
Package Contents
usr/ usr/bin/ usr/bin/Ildaeil usr/lib/ usr/lib/clap/ usr/lib/clap/Ildaeil.clap/ usr/lib/clap/Ildaeil.clap/Ildaeil-FX.clap usr/lib/clap/Ildaeil.clap/Ildaeil-MIDI.clap usr/lib/clap/Ildaeil.clap/Ildaeil-Synth.clap usr/lib/lv2/ usr/lib/lv2/Ildaeil-FX.lv2/ usr/lib/lv2/Ildaeil-FX.lv2/Ildaeil-FX.so usr/lib/lv2/Ildaeil-FX.lv2/Ildaeil-FX.ttl usr/lib/lv2/Ildaeil-FX.lv2/manifest.ttl usr/lib/lv2/Ildaeil-MIDI.lv2/ usr/lib/lv2/Ildaeil-MIDI.lv2/Ildaeil-MIDI.so usr/lib/lv2/Ildaeil-MIDI.lv2/Ildaeil-MIDI.ttl usr/lib/lv2/Ildaeil-MIDI.lv2/manifest.ttl usr/lib/lv2/Ildaeil-Synth.lv2/ usr/lib/lv2/Ildaeil-Synth.lv2/Ildaeil-Synth.so usr/lib/lv2/Ildaeil-Synth.lv2/Ildaeil-Synth.ttl usr/lib/lv2/Ildaeil-Synth.lv2/manifest.ttl usr/lib/vst/ usr/lib/vst/Ildaeil.vst/ usr/lib/vst/Ildaeil.vst/Ildaeil-FX.so usr/lib/vst/Ildaeil.vst/Ildaeil-MIDI.so usr/lib/vst/Ildaeil.vst/Ildaeil-Synth.so usr/lib/vst3/ usr/lib/vst3/Ildaeil-FX.vst3/ usr/lib/vst3/Ildaeil-FX.vst3/Contents/ usr/lib/vst3/Ildaeil-FX.vst3/Contents/aarch64-linux/ usr/lib/vst3/Ildaeil-FX.vst3/Contents/aarch64-linux/Ildaeil-FX.so usr/lib/vst3/Ildaeil-Synth.vst3/ usr/lib/vst3/Ildaeil-Synth.vst3/Contents/ usr/lib/vst3/Ildaeil-Synth.vst3/Contents/aarch64-linux/ usr/lib/vst3/Ildaeil-Synth.vst3/Contents/aarch64-linux/Ildaeil-Synth.so usr/share/ usr/share/doc/ usr/share/doc/ildaeil/ usr/share/doc/ildaeil/README.md usr/share/doc/ildaeil/screenshots/ usr/share/doc/ildaeil/screenshots/bitwig-setbfree.png usr/share/doc/ildaeil/screenshots/cubase-caps.png usr/share/doc/ildaeil/screenshots/flstudio-various.png usr/share/doc/ildaeil/screenshots/ildaeil-generic-gui.png usr/share/doc/ildaeil/screenshots/ildaeil-plugin-list.png usr/share/doc/ildaeil/screenshots/renoise-aether.png
x86_64ildaeil1.3-1A mini-plugin host working as a plugin
Close

ildaeil 1.3-1


Architecture:x86_64
Base Package:ildaeil (PKGBUILD)
Description:A mini-plugin host working as a plugin
Upstream URL:https://github.com/DISTRHO/Ildaeil
Groups:clap-plugins
lv2-plugins
pro-audio
vst-plugins
vst3-plugins
Provides:lv2-host
Download Size:36.0 MB (Download)
Installed Size:93.9 MB
License:GPL2
Build Date:2023-07-17 11:36 UTC
Dependencies:carla
gcc-libs
glibc
libbz2.so
libcap.so
libdbus-1.so=3-64
libFLAC.so
libGL.so=1-64
libGLdispatch.so
libGLX.so
libmagic.so=1-64
libogg.so
libopus.so
libsndfile.so=1-64
libsystemd.so
libvorbis.so
libx11
libxcursor
libxrandr
libzstd.so
clap-host: for CLAP plugins (optional)
lv2-host: for LV2 plugins (optional)
vst-host: for VST2 plugins (optional)
vst3-host: for VST3 plugins (optional)
dbus (make)
flac (make)
libglvnd (make)
libogg (make)
libsndfile (make)
libvorbis (make)
opus (make)
Package Contents
usr/ usr/bin/ usr/bin/Ildaeil usr/lib/ usr/lib/clap/ usr/lib/clap/Ildaeil.clap/ usr/lib/clap/Ildaeil.clap/Ildaeil-FX.clap usr/lib/clap/Ildaeil.clap/Ildaeil-MIDI.clap usr/lib/clap/Ildaeil.clap/Ildaeil-Synth.clap usr/lib/lv2/ usr/lib/lv2/Ildaeil-FX.lv2/ usr/lib/lv2/Ildaeil-FX.lv2/Ildaeil-FX.so usr/lib/lv2/Ildaeil-FX.lv2/Ildaeil-FX.ttl usr/lib/lv2/Ildaeil-FX.lv2/manifest.ttl usr/lib/lv2/Ildaeil-MIDI.lv2/ usr/lib/lv2/Ildaeil-MIDI.lv2/Ildaeil-MIDI.so usr/lib/lv2/Ildaeil-MIDI.lv2/Ildaeil-MIDI.ttl usr/lib/lv2/Ildaeil-MIDI.lv2/manifest.ttl usr/lib/lv2/Ildaeil-Synth.lv2/ usr/lib/lv2/Ildaeil-Synth.lv2/Ildaeil-Synth.so usr/lib/lv2/Ildaeil-Synth.lv2/Ildaeil-Synth.ttl usr/lib/lv2/Ildaeil-Synth.lv2/manifest.ttl usr/lib/vst/ usr/lib/vst/Ildaeil.vst/ usr/lib/vst/Ildaeil.vst/Ildaeil-FX.so usr/lib/vst/Ildaeil.vst/Ildaeil-MIDI.so usr/lib/vst/Ildaeil.vst/Ildaeil-Synth.so usr/lib/vst3/ usr/lib/vst3/Ildaeil-FX.vst3/ usr/lib/vst3/Ildaeil-FX.vst3/Contents/ usr/lib/vst3/Ildaeil-FX.vst3/Contents/x86_64-linux/ usr/lib/vst3/Ildaeil-FX.vst3/Contents/x86_64-linux/Ildaeil-FX.so usr/lib/vst3/Ildaeil-Synth.vst3/ usr/lib/vst3/Ildaeil-Synth.vst3/Contents/ usr/lib/vst3/Ildaeil-Synth.vst3/Contents/x86_64-linux/ usr/lib/vst3/Ildaeil-Synth.vst3/Contents/x86_64-linux/Ildaeil-Synth.so usr/share/ usr/share/doc/ usr/share/doc/ildaeil/ usr/share/doc/ildaeil/README.md usr/share/doc/ildaeil/screenshots/ usr/share/doc/ildaeil/screenshots/bitwig-setbfree.png usr/share/doc/ildaeil/screenshots/cubase-caps.png usr/share/doc/ildaeil/screenshots/flstudio-various.png usr/share/doc/ildaeil/screenshots/ildaeil-generic-gui.png usr/share/doc/ildaeil/screenshots/ildaeil-plugin-list.png usr/share/doc/ildaeil/screenshots/renoise-aether.png
anyjack-matchmaker0.11.0-2A command line tool to auto-connect JACK ports matching given patterns
Close

jack-matchmaker 0.11.0-2


Architecture:any
Base Package:jack-matchmaker (PKGBUILD)
Description:A command line tool to auto-connect JACK ports matching given patterns
Upstream URL:https://github.com/SpotlightKid/jack-matchmaker
Download Size:31.0 kB (Download)
Installed Size:119.7 kB
License:GPL2
Build Date:2024-05-01 23:02 UTC
Dependencies:jack
python-cachetools
python-pyjacklib
python-build (make)
python-hatchling (make)
python-installer (make)
Package Contents
etc/ etc/conf.d/ etc/conf.d/jack-matchmaker usr/ usr/bin/ usr/bin/jack-matchmaker usr/lib/ usr/lib/python3.12/ usr/lib/python3.12/site-packages/ usr/lib/python3.12/site-packages/jack_matchmaker-0.11.0.dist-info/ usr/lib/python3.12/site-packages/jack_matchmaker-0.11.0.dist-info/entry_points.txt usr/lib/python3.12/site-packages/jack_matchmaker-0.11.0.dist-info/licenses/ usr/lib/python3.12/site-packages/jack_matchmaker-0.11.0.dist-info/licenses/LICENSE usr/lib/python3.12/site-packages/jack_matchmaker-0.11.0.dist-info/METADATA usr/lib/python3.12/site-packages/jack_matchmaker-0.11.0.dist-info/RECORD usr/lib/python3.12/site-packages/jack_matchmaker-0.11.0.dist-info/WHEEL usr/lib/python3.12/site-packages/jackmatchmaker/ usr/lib/python3.12/site-packages/jackmatchmaker/__init__.py usr/lib/python3.12/site-packages/jackmatchmaker/__main__.py usr/lib/python3.12/site-packages/jackmatchmaker/__pycache__/ usr/lib/python3.12/site-packages/jackmatchmaker/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/jackmatchmaker/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/jackmatchmaker/__pycache__/__main__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/jackmatchmaker/__pycache__/__main__.cpython-312.pyc usr/lib/python3.12/site-packages/jackmatchmaker/__pycache__/version.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/jackmatchmaker/__pycache__/version.cpython-312.pyc usr/lib/python3.12/site-packages/jackmatchmaker/version.py usr/lib/systemd/ usr/lib/systemd/user/ usr/lib/systemd/user/jack-matchmaker.service usr/share/ usr/share/doc/ usr/share/doc/jack-matchmaker/ usr/share/doc/jack-matchmaker/example_patterns.txt usr/share/doc/jack-matchmaker/README.md
aarch64jalv-select1.3-5A small GUI to select installed LV2 plugins and run them with jalv
Close

jalv-select 1.3-5


Architecture:aarch64
Base Package:jalv-select (PKGBUILD)
Description:A small GUI to select installed LV2 plugins and run them with jalv
Upstream URL:https://github.com/brummer10/jalv_select
Download Size:50.0 kB (Download)
Installed Size:201.0 kB
License:Unlicense
Build Date:2022-07-08 21:38 UTC
Dependencies:gtkmm
jalv
liblilv-0.so=0-64
libserd-0.so
libsord-0.so
libsratom-0.so
lilv (make)
serd (make)
sord (make)
sratom (make)
Package Contents
usr/ usr/bin/ usr/bin/jalv.select usr/share/ usr/share/applications/ usr/share/applications/jalv.select.desktop usr/share/doc/ usr/share/doc/jalv-select/ usr/share/doc/jalv-select/README.md usr/share/locale/ usr/share/locale/de_DE/ usr/share/locale/de_DE/LC_MESSAGES/ usr/share/locale/de_DE/LC_MESSAGES/jalv.select.mo usr/share/locale/fr_FR/ usr/share/locale/fr_FR/LC_MESSAGES/ usr/share/locale/fr_FR/LC_MESSAGES/jalv.select.mo usr/share/man/ usr/share/man/man1/ usr/share/man/man1/jalv.select.1.gz usr/share/man/man1/jalv.select.fr.1.gz usr/share/pixmaps/ usr/share/pixmaps/lv2_16.png usr/share/pixmaps/lv2.png
x86_64jalv-select1.3-5A small GUI to select installed LV2 plugins and run them with jalv
Close

jalv-select 1.3-5


Architecture:x86_64
Base Package:jalv-select (PKGBUILD)
Description:A small GUI to select installed LV2 plugins and run them with jalv
Upstream URL:https://github.com/brummer10/jalv_select
Download Size:67.8 kB (Download)
Installed Size:196.8 kB
License:Unlicense
Build Date:2022-07-08 21:38 UTC
Dependencies:gtkmm
jalv
liblilv-0.so=0-64
libserd-0.so
libsord-0.so
libsratom-0.so
lilv (make)
serd (make)
sord (make)
sratom (make)
Package Contents
usr/ usr/bin/ usr/bin/jalv.select usr/share/ usr/share/applications/ usr/share/applications/jalv.select.desktop usr/share/doc/ usr/share/doc/jalv-select/ usr/share/doc/jalv-select/README.md usr/share/locale/ usr/share/locale/de_DE/ usr/share/locale/de_DE/LC_MESSAGES/ usr/share/locale/de_DE/LC_MESSAGES/jalv.select.mo usr/share/locale/fr_FR/ usr/share/locale/fr_FR/LC_MESSAGES/ usr/share/locale/fr_FR/LC_MESSAGES/jalv.select.mo usr/share/man/ usr/share/man/man1/ usr/share/man/man1/jalv.select.1.gz usr/share/man/man1/jalv.select.fr.1.gz usr/share/pixmaps/ usr/share/pixmaps/lv2_16.png usr/share/pixmaps/lv2.png
aarch64jamulus3.11.0-1Internet jam session software (client and server)
Close

jamulus 3.11.0-1


Architecture:aarch64
Base Package:jamulus (PKGBUILD)
Description:Internet jam session software (client and server)
Upstream URL:https://jamulus.io/
Groups:pro-audio
Provides:jamulus-server
Conflicts:jamulus-git
Download Size:1.3 MB (Download)
Installed Size:3.3 MB
Licenses:BSD-3-Clause
custom:STK
GPL-2.0-only
Build Date:2024-09-24 18:37 UTC
Dependencies:gcc-libs
hicolor-icon-theme
libjack.so=0-64
qt6-base
qt6-multimedia
jack (make)
qt6-multimedia (make)
qt6-tools (make)
Package Contents
usr/ usr/bin/ usr/bin/jamulus usr/lib/ usr/lib/systemd/ usr/lib/systemd/system/ usr/lib/systemd/system/jamulus.service usr/lib/sysusers.d/ usr/lib/sysusers.d/jamulus.conf usr/share/ usr/share/applications/ usr/share/applications/jamulus-server.desktop usr/share/applications/jamulus.desktop usr/share/doc/ usr/share/doc/jamulus/ usr/share/doc/jamulus/ChangeLog usr/share/doc/jamulus/README.md usr/share/icons/ usr/share/icons/hicolor/ usr/share/icons/hicolor/scalable/ usr/share/icons/hicolor/scalable/apps/ usr/share/icons/hicolor/scalable/apps/io.jamulus.jamulus.svg usr/share/icons/hicolor/scalable/apps/io.jamulus.jamulusserver.svg usr/share/licenses/ usr/share/licenses/jamulus/ usr/share/licenses/jamulus/COPYING usr/share/man/ usr/share/man/man1/ usr/share/man/man1/jamulus.1.gz
x86_64jamulus3.11.0-1Internet jam session software (client and server)
Close

jamulus 3.11.0-1


Architecture:x86_64
Base Package:jamulus (PKGBUILD)
Description:Internet jam session software (client and server)
Upstream URL:https://jamulus.io/
Groups:pro-audio
Provides:jamulus-server
Conflicts:jamulus-git
Download Size:1.5 MB (Download)
Installed Size:2.8 MB
Licenses:BSD-3-Clause
custom:STK
GPL-2.0-only
Build Date:2024-09-24 18:37 UTC
Dependencies:gcc-libs
hicolor-icon-theme
libjack.so=0-64
qt6-base
qt6-multimedia
jack (make)
qt6-multimedia (make)
qt6-tools (make)
Package Contents
usr/ usr/bin/ usr/bin/jamulus usr/lib/ usr/lib/systemd/ usr/lib/systemd/system/ usr/lib/systemd/system/jamulus.service usr/lib/sysusers.d/ usr/lib/sysusers.d/jamulus.conf usr/share/ usr/share/applications/ usr/share/applications/jamulus-server.desktop usr/share/applications/jamulus.desktop usr/share/doc/ usr/share/doc/jamulus/ usr/share/doc/jamulus/ChangeLog usr/share/doc/jamulus/README.md usr/share/icons/ usr/share/icons/hicolor/ usr/share/icons/hicolor/scalable/ usr/share/icons/hicolor/scalable/apps/ usr/share/icons/hicolor/scalable/apps/io.jamulus.jamulus.svg usr/share/icons/hicolor/scalable/apps/io.jamulus.jamulusserver.svg usr/share/licenses/ usr/share/licenses/jamulus/ usr/share/licenses/jamulus/COPYING usr/share/man/ usr/share/man/man1/ usr/share/man/man1/jamulus.1.gz
aarch64jamulus-headless3.11.0-1Internet jam session software (headless server)
Close

jamulus-headless 3.11.0-1


Architecture:aarch64
Base Package:jamulus (PKGBUILD)
Description:Internet jam session software (headless server)
Upstream URL:https://jamulus.io/
Provides:jamulus-server
Conflicts:jamulus
jamulus-git
Download Size:1.1 MB (Download)
Installed Size:2.4 MB
Licenses:BSD-3-Clause
custom:STK
GPL-2.0-only
Build Date:2024-09-24 18:37 UTC
Dependencies:gcc-libs
qt6-base
jack (make)
qt6-multimedia (make)
qt6-tools (make)
Package Contents
usr/ usr/bin/ usr/bin/jamulus usr/lib/ usr/lib/systemd/ usr/lib/systemd/system/ usr/lib/systemd/system/jamulus.service usr/lib/sysusers.d/ usr/lib/sysusers.d/jamulus.conf usr/share/ usr/share/doc/ usr/share/doc/jamulus-headless/ usr/share/doc/jamulus-headless/ChangeLog usr/share/doc/jamulus-headless/README.md usr/share/licenses/ usr/share/licenses/jamulus-headless/ usr/share/licenses/jamulus-headless/COPYING
x86_64jamulus-headless3.11.0-1Internet jam session software (headless server)
Close

jamulus-headless 3.11.0-1


Architecture:x86_64
Base Package:jamulus (PKGBUILD)
Description:Internet jam session software (headless server)
Upstream URL:https://jamulus.io/
Provides:jamulus-server
Conflicts:jamulus
jamulus-git
Download Size:1.2 MB (Download)
Installed Size:1.9 MB
Licenses:BSD-3-Clause
custom:STK
GPL-2.0-only
Build Date:2024-09-24 18:37 UTC
Dependencies:gcc-libs
qt6-base
jack (make)
qt6-multimedia (make)
qt6-tools (make)
Package Contents
usr/ usr/bin/ usr/bin/jamulus usr/lib/ usr/lib/systemd/ usr/lib/systemd/system/ usr/lib/systemd/system/jamulus.service usr/lib/sysusers.d/ usr/lib/sysusers.d/jamulus.conf usr/share/ usr/share/doc/ usr/share/doc/jamulus-headless/ usr/share/doc/jamulus-headless/ChangeLog usr/share/doc/jamulus-headless/README.md usr/share/licenses/ usr/share/licenses/jamulus-headless/ usr/share/licenses/jamulus-headless/COPYING
aarch64jkmeter0.9.0-2A horizontal or vertical bargraph level meter based on the ideas of mastering guru Bob Katz.
Close

jkmeter 0.9.0-2


Architecture:aarch64
Base Package:jkmeter (PKGBUILD)
Description:A horizontal or vertical bargraph level meter based on the ideas of mastering guru Bob Katz.
Upstream URL:http://kokkinizita.linuxaudio.org/linuxaudio/downloads/
Groups:pro-audio
Download Size:40.1 kB (Download)
Installed Size:75.0 kB
License:GPL2
Build Date:2022-10-30 08:23 UTC
Dependencies:libclthreads.so=2-64
libclxclient.so=3-64
libjack.so=0-64
libpng
clthreads (make)
clxclient (make)
jack (make)
libsndfile (make)
Package Contents
usr/ usr/bin/ usr/bin/jkmeter usr/share/ usr/share/doc/ usr/share/doc/jkmeter/ usr/share/doc/jkmeter/AUTHORS usr/share/doc/jkmeter/README usr/share/jkmeter/ usr/share/jkmeter/k12-meterH0.png usr/share/jkmeter/k12-meterH1.png usr/share/jkmeter/k12-meterV0.png usr/share/jkmeter/k12-meterV1.png usr/share/jkmeter/k12-scaleH.png usr/share/jkmeter/k12-scaleV.png usr/share/jkmeter/k14-meterH0.png usr/share/jkmeter/k14-meterH1.png usr/share/jkmeter/k14-meterV0.png usr/share/jkmeter/k14-meterV1.png usr/share/jkmeter/k14-scaleH.png usr/share/jkmeter/k14-scaleV.png usr/share/jkmeter/k20-meterH0.png usr/share/jkmeter/k20-meterH1.png usr/share/jkmeter/k20-meterV0.png usr/share/jkmeter/k20-meterV1.png usr/share/jkmeter/k20-scaleH.png usr/share/jkmeter/k20-scaleV.png usr/share/jkmeter/stc-meterH0.png usr/share/jkmeter/stc-meterH1.png usr/share/jkmeter/stc-meterV0.png usr/share/jkmeter/stc-meterV1.png usr/share/jkmeter/stc-scaleH.png usr/share/jkmeter/stc-scaleV.png
x86_64jkmeter0.9.0-2A horizontal or vertical bargraph level meter based on the ideas of mastering guru Bob Katz.
Close

jkmeter 0.9.0-2


Architecture:x86_64
Base Package:jkmeter (PKGBUILD)
Description:A horizontal or vertical bargraph level meter based on the ideas of mastering guru Bob Katz.
Upstream URL:http://kokkinizita.linuxaudio.org/linuxaudio/downloads/
Groups:pro-audio
Download Size:46.6 kB (Download)
Installed Size:74.9 kB
License:GPL2
Build Date:2022-10-30 08:23 UTC
Dependencies:libclthreads.so=2-64
libclxclient.so=3-64
libjack.so=0-64
libpng
clthreads (make)
clxclient (make)
jack (make)
libsndfile (make)
Package Contents
usr/ usr/bin/ usr/bin/jkmeter usr/share/ usr/share/doc/ usr/share/doc/jkmeter/ usr/share/doc/jkmeter/AUTHORS usr/share/doc/jkmeter/README usr/share/jkmeter/ usr/share/jkmeter/k12-meterH0.png usr/share/jkmeter/k12-meterH1.png usr/share/jkmeter/k12-meterV0.png usr/share/jkmeter/k12-meterV1.png usr/share/jkmeter/k12-scaleH.png usr/share/jkmeter/k12-scaleV.png usr/share/jkmeter/k14-meterH0.png usr/share/jkmeter/k14-meterH1.png usr/share/jkmeter/k14-meterV0.png usr/share/jkmeter/k14-meterV1.png usr/share/jkmeter/k14-scaleH.png usr/share/jkmeter/k14-scaleV.png usr/share/jkmeter/k20-meterH0.png usr/share/jkmeter/k20-meterH1.png usr/share/jkmeter/k20-meterV0.png usr/share/jkmeter/k20-meterV1.png usr/share/jkmeter/k20-scaleH.png usr/share/jkmeter/k20-scaleV.png usr/share/jkmeter/stc-meterH0.png usr/share/jkmeter/stc-meterH1.png usr/share/jkmeter/stc-meterV0.png usr/share/jkmeter/stc-meterV1.png usr/share/jkmeter/stc-scaleH.png usr/share/jkmeter/stc-scaleV.png
aarch64jmeters0.4.5-2Various analog-style audio level meters for JACK
Close

jmeters 0.4.5-2


Architecture:aarch64
Base Package:jmeters (PKGBUILD)
Description:Various analog-style audio level meters for JACK
Upstream URL:http://kokkinizita.linuxaudio.org/linuxaudio/downloads/
Groups:pro-audio
Download Size:293.1 kB (Download)
Installed Size:341.8 kB
License:GPL2
Build Date:2022-11-01 17:19 UTC
Dependencies:cairo
libclthreads.so=2-64
libclxclient.so=3-64
libjack.so=0-64
clthreads (make)
clxclient (make)
jack (make)
libsndfile (make)
Package Contents
usr/ usr/bin/ usr/bin/jmeters usr/share/ usr/share/doc/ usr/share/doc/jmeters/ usr/share/doc/jmeters/AUTHORS usr/share/doc/jmeters/README usr/share/jmeters/ usr/share/jmeters/bbc-meter1.png usr/share/jmeters/bbc-meter2.png usr/share/jmeters/bbc-meter3.png usr/share/jmeters/bbc-meter4.png usr/share/jmeters/din-meter3.png usr/share/jmeters/din-meter4.png usr/share/jmeters/din-scorr4.png usr/share/jmeters/ebu-meter1.png usr/share/jmeters/ebu-meter2.png usr/share/jmeters/ebu-meter3.png usr/share/jmeters/ebu-meter4.png usr/share/jmeters/ppm-scorr1.png usr/share/jmeters/ppm-scorr2.png usr/share/jmeters/ppm-scorr4.png usr/share/jmeters/vu-meter1.png usr/share/jmeters/vu-meter2.png usr/share/jmeters/vu-meter3.png usr/share/jmeters/vu-meter4.png usr/share/jmeters/vu-scorr1.png usr/share/jmeters/vu-scorr2.png usr/share/jmeters/vu-scorr4.png
x86_64jmeters0.4.5-2Various analog-style audio level meters for JACK
Close

jmeters 0.4.5-2


Architecture:x86_64
Base Package:jmeters (PKGBUILD)
Description:Various analog-style audio level meters for JACK
Upstream URL:http://kokkinizita.linuxaudio.org/linuxaudio/downloads/
Groups:pro-audio
Download Size:312.4 kB (Download)
Installed Size:341.8 kB
License:GPL2
Build Date:2022-10-31 22:41 UTC
Dependencies:cairo
libclthreads.so=2-64
libclxclient.so=3-64
libjack.so=0-64
clthreads (make)
clxclient (make)
jack (make)
libsndfile (make)
Package Contents
usr/ usr/bin/ usr/bin/jmeters usr/share/ usr/share/doc/ usr/share/doc/jmeters/ usr/share/doc/jmeters/AUTHORS usr/share/doc/jmeters/README usr/share/jmeters/ usr/share/jmeters/bbc-meter1.png usr/share/jmeters/bbc-meter2.png usr/share/jmeters/bbc-meter3.png usr/share/jmeters/bbc-meter4.png usr/share/jmeters/din-meter3.png usr/share/jmeters/din-meter4.png usr/share/jmeters/din-scorr4.png usr/share/jmeters/ebu-meter1.png usr/share/jmeters/ebu-meter2.png usr/share/jmeters/ebu-meter3.png usr/share/jmeters/ebu-meter4.png usr/share/jmeters/ppm-scorr1.png usr/share/jmeters/ppm-scorr2.png usr/share/jmeters/ppm-scorr4.png usr/share/jmeters/vu-meter1.png usr/share/jmeters/vu-meter2.png usr/share/jmeters/vu-meter3.png usr/share/jmeters/vu-meter4.png usr/share/jmeters/vu-scorr1.png usr/share/jmeters/vu-scorr2.png usr/share/jmeters/vu-scorr4.png
aarch64klick0.14.2-2An advanced command line based metronome for JACK
Close

klick 0.14.2-2


Architecture:aarch64
Base Package:klick (PKGBUILD)
Description:An advanced command line based metronome for JACK
Upstream URL:http://das.nasophon.de/klick/
Download Size:175.8 kB (Download)
Installed Size:483.1 kB
License:GPL
Build Date:2022-06-13 21:01 UTC
Dependencies:gcc-libs
glibc
libjack.so=0-64
liblo.so=7-64
librubberband.so=2-64
libsamplerate.so=0-64
libsndfile.so=1-64
boost (make)
jack (make)
liblo (make)
libsndfile (make)
rubberband (make)
scons (make)
Package Contents
usr/ usr/bin/ usr/bin/klick usr/share/ usr/share/doc/ usr/share/doc/klick/ usr/share/doc/klick/manual.html usr/share/klick/ usr/share/klick/samples/ usr/share/klick/samples/click_emphasis.wav usr/share/klick/samples/click_normal.wav usr/share/klick/samples/noise_emphasis.wav usr/share/klick/samples/noise_normal.wav usr/share/klick/samples/sine_emphasis.wav usr/share/klick/samples/sine_normal.wav usr/share/klick/samples/square_emphasis.wav usr/share/klick/samples/square_normal.wav
x86_64klick0.14.2-2An advanced command line based metronome for JACK
Close

klick 0.14.2-2


Architecture:x86_64
Base Package:klick (PKGBUILD)
Description:An advanced command line based metronome for JACK
Upstream URL:http://das.nasophon.de/klick/
Download Size:233.0 kB (Download)
Installed Size:487.2 kB
License:GPL
Build Date:2022-06-13 21:01 UTC
Dependencies:gcc-libs
glibc
libjack.so=0-64
liblo.so=7-64
librubberband.so=2-64
libsamplerate.so=0-64
libsndfile.so=1-64
boost (make)
jack (make)
liblo (make)
libsndfile (make)
rubberband (make)
scons (make)
Package Contents
usr/ usr/bin/ usr/bin/klick usr/share/ usr/share/doc/ usr/share/doc/klick/ usr/share/doc/klick/manual.html usr/share/klick/ usr/share/klick/samples/ usr/share/klick/samples/click_emphasis.wav usr/share/klick/samples/click_normal.wav usr/share/klick/samples/noise_emphasis.wav usr/share/klick/samples/noise_normal.wav usr/share/klick/samples/sine_emphasis.wav usr/share/klick/samples/sine_normal.wav usr/share/klick/samples/square_emphasis.wav usr/share/klick/samples/square_normal.wav
aarch64kpp1.2.1-3Kapitonov Plugins Pack for guitar sound processing
Close

kpp 1.2.1-3


Architecture:aarch64
Base Package:kpp (PKGBUILD)
Description:Kapitonov Plugins Pack for guitar sound processing
Upstream URL:https://kpp-tubeamp.com/
Groups:ladspa-plugins
lv2-plugins
pro-audio
Download Size:3.1 MB (Download)
Installed Size:6.0 MB
License:GPL3
Build Date:2022-09-29 19:21 UTC
Dependencies:cairo
gcc-libs
libzita-convolver.so=4-64
libzita-resampler.so=1-64
xcb-util
xcb-util-wm
ladspa-host: for running the LADSPA plugins (optional)
lv2-host: for running the LV2 plugins (optional)
boost (make)
faust (make)
ladspa (make)
libxcb (make)
lv2 (make)
meson (make)
zita-convolver (make)
zita-resampler (make)
Package Contents
usr/ usr/lib/ usr/lib/ladspa/ usr/lib/ladspa/kpp_bluedream.so usr/lib/ladspa/kpp_deadgate.so usr/lib/ladspa/kpp_distruction.so usr/lib/ladspa/kpp_fuzz.so usr/lib/ladspa/kpp_octaver.so usr/lib/ladspa/kpp_single2humbucker.so usr/lib/lv2/ usr/lib/lv2/kpp_bluedream.lv2/ usr/lib/lv2/kpp_bluedream.lv2/base_scale.png usr/lib/lv2/kpp_bluedream.lv2/kpp_bluedream.so usr/lib/lv2/kpp_bluedream.lv2/kpp_bluedream.ttl usr/lib/lv2/kpp_bluedream.lv2/kpp_bluedreamui.so usr/lib/lv2/kpp_bluedream.lv2/light.png usr/lib/lv2/kpp_bluedream.lv2/manifest.ttl usr/lib/lv2/kpp_deadgate.lv2/ usr/lib/lv2/kpp_deadgate.lv2/kpp_deadgate.so usr/lib/lv2/kpp_deadgate.lv2/kpp_deadgate.ttl usr/lib/lv2/kpp_deadgate.lv2/manifest.ttl usr/lib/lv2/kpp_distruction.lv2/ usr/lib/lv2/kpp_distruction.lv2/base_scale.png usr/lib/lv2/kpp_distruction.lv2/kpp_distruction.so usr/lib/lv2/kpp_distruction.lv2/kpp_distruction.ttl usr/lib/lv2/kpp_distruction.lv2/kpp_distructionui.so usr/lib/lv2/kpp_distruction.lv2/light.png usr/lib/lv2/kpp_distruction.lv2/manifest.ttl usr/lib/lv2/kpp_fuzz.lv2/ usr/lib/lv2/kpp_fuzz.lv2/base_scale.png usr/lib/lv2/kpp_fuzz.lv2/kpp_fuzz.so usr/lib/lv2/kpp_fuzz.lv2/kpp_fuzz.ttl usr/lib/lv2/kpp_fuzz.lv2/kpp_fuzzui.so usr/lib/lv2/kpp_fuzz.lv2/light.png usr/lib/lv2/kpp_fuzz.lv2/manifest.ttl usr/lib/lv2/kpp_octaver.lv2/ usr/lib/lv2/kpp_octaver.lv2/kpp_octaver.so usr/lib/lv2/kpp_octaver.lv2/kpp_octaver.ttl usr/lib/lv2/kpp_octaver.lv2/manifest.ttl usr/lib/lv2/kpp_single2humbucker.lv2/ usr/lib/lv2/kpp_single2humbucker.lv2/kpp_single2humbucker.so usr/lib/lv2/kpp_single2humbucker.lv2/kpp_single2humbucker.ttl usr/lib/lv2/kpp_single2humbucker.lv2/manifest.ttl usr/lib/lv2/kpp_tubeamp.lv2/ usr/lib/lv2/kpp_tubeamp.lv2/base_scale.png usr/lib/lv2/kpp_tubeamp.lv2/kpp_tubeamp.so usr/lib/lv2/kpp_tubeamp.lv2/kpp_tubeamp.ttl usr/lib/lv2/kpp_tubeamp.lv2/kpp_tubeampui.so usr/lib/lv2/kpp_tubeamp.lv2/light.png usr/lib/lv2/kpp_tubeamp.lv2/manifest.ttl usr/lib/lv2/kpp_tubeamp.lv2/profiles/ usr/lib/lv2/kpp_tubeamp.lv2/profiles/American Clean.tapf usr/lib/lv2/kpp_tubeamp.lv2/profiles/American Vintage.tapf usr/lib/lv2/kpp_tubeamp.lv2/profiles/British Crunch.tapf usr/lib/lv2/kpp_tubeamp.lv2/profiles/Modern Metal.tapf usr/lib/lv2/kpp_tubeamp.lv2/profiles/v1.2/ usr/lib/lv2/kpp_tubeamp.lv2/profiles/v1.2/Classic Hard.tapf usr/lib/lv2/kpp_tubeamp.lv2/profiles/v1.2/JCM800 (spice).tapf usr/lib/lv2/kpp_tubeamp.lv2/profiles/v1.2/MarkII.tapf usr/lib/lv2/kpp_tubeamp.lv2/profiles/v1.2/TwinReverb (spice).tapf
x86_64kpp1.2.1-3Kapitonov Plugins Pack for guitar sound processing
Close

kpp 1.2.1-3


Architecture:x86_64
Base Package:kpp (PKGBUILD)
Description:Kapitonov Plugins Pack for guitar sound processing
Upstream URL:https://kpp-tubeamp.com/
Groups:ladspa-plugins
lv2-plugins
pro-audio
Download Size:3.3 MB (Download)
Installed Size:6.0 MB
License:GPL3
Build Date:2022-09-29 19:21 UTC
Dependencies:cairo
gcc-libs
libzita-convolver.so=4-64
libzita-resampler.so=1-64
xcb-util
xcb-util-wm
ladspa-host: for running the LADSPA plugins (optional)
lv2-host: for running the LV2 plugins (optional)
boost (make)
faust (make)
ladspa (make)
libxcb (make)
lv2 (make)
meson (make)
zita-convolver (make)
zita-resampler (make)
Package Contents
usr/ usr/lib/ usr/lib/ladspa/ usr/lib/ladspa/kpp_bluedream.so usr/lib/ladspa/kpp_deadgate.so usr/lib/ladspa/kpp_distruction.so usr/lib/ladspa/kpp_fuzz.so usr/lib/ladspa/kpp_octaver.so usr/lib/ladspa/kpp_single2humbucker.so usr/lib/lv2/ usr/lib/lv2/kpp_bluedream.lv2/ usr/lib/lv2/kpp_bluedream.lv2/base_scale.png usr/lib/lv2/kpp_bluedream.lv2/kpp_bluedream.so usr/lib/lv2/kpp_bluedream.lv2/kpp_bluedream.ttl usr/lib/lv2/kpp_bluedream.lv2/kpp_bluedreamui.so usr/lib/lv2/kpp_bluedream.lv2/light.png usr/lib/lv2/kpp_bluedream.lv2/manifest.ttl usr/lib/lv2/kpp_deadgate.lv2/ usr/lib/lv2/kpp_deadgate.lv2/kpp_deadgate.so usr/lib/lv2/kpp_deadgate.lv2/kpp_deadgate.ttl usr/lib/lv2/kpp_deadgate.lv2/manifest.ttl usr/lib/lv2/kpp_distruction.lv2/ usr/lib/lv2/kpp_distruction.lv2/base_scale.png usr/lib/lv2/kpp_distruction.lv2/kpp_distruction.so usr/lib/lv2/kpp_distruction.lv2/kpp_distruction.ttl usr/lib/lv2/kpp_distruction.lv2/kpp_distructionui.so usr/lib/lv2/kpp_distruction.lv2/light.png usr/lib/lv2/kpp_distruction.lv2/manifest.ttl usr/lib/lv2/kpp_fuzz.lv2/ usr/lib/lv2/kpp_fuzz.lv2/base_scale.png usr/lib/lv2/kpp_fuzz.lv2/kpp_fuzz.so usr/lib/lv2/kpp_fuzz.lv2/kpp_fuzz.ttl usr/lib/lv2/kpp_fuzz.lv2/kpp_fuzzui.so usr/lib/lv2/kpp_fuzz.lv2/light.png usr/lib/lv2/kpp_fuzz.lv2/manifest.ttl usr/lib/lv2/kpp_octaver.lv2/ usr/lib/lv2/kpp_octaver.lv2/kpp_octaver.so usr/lib/lv2/kpp_octaver.lv2/kpp_octaver.ttl usr/lib/lv2/kpp_octaver.lv2/manifest.ttl usr/lib/lv2/kpp_single2humbucker.lv2/ usr/lib/lv2/kpp_single2humbucker.lv2/kpp_single2humbucker.so usr/lib/lv2/kpp_single2humbucker.lv2/kpp_single2humbucker.ttl usr/lib/lv2/kpp_single2humbucker.lv2/manifest.ttl usr/lib/lv2/kpp_tubeamp.lv2/ usr/lib/lv2/kpp_tubeamp.lv2/base_scale.png usr/lib/lv2/kpp_tubeamp.lv2/kpp_tubeamp.so usr/lib/lv2/kpp_tubeamp.lv2/kpp_tubeamp.ttl usr/lib/lv2/kpp_tubeamp.lv2/kpp_tubeampui.so usr/lib/lv2/kpp_tubeamp.lv2/light.png usr/lib/lv2/kpp_tubeamp.lv2/manifest.ttl usr/lib/lv2/kpp_tubeamp.lv2/profiles/ usr/lib/lv2/kpp_tubeamp.lv2/profiles/American Clean.tapf usr/lib/lv2/kpp_tubeamp.lv2/profiles/American Vintage.tapf usr/lib/lv2/kpp_tubeamp.lv2/profiles/British Crunch.tapf usr/lib/lv2/kpp_tubeamp.lv2/profiles/Modern Metal.tapf usr/lib/lv2/kpp_tubeamp.lv2/profiles/v1.2/ usr/lib/lv2/kpp_tubeamp.lv2/profiles/v1.2/Classic Hard.tapf usr/lib/lv2/kpp_tubeamp.lv2/profiles/v1.2/JCM800 (spice).tapf usr/lib/lv2/kpp_tubeamp.lv2/profiles/v1.2/MarkII.tapf usr/lib/lv2/kpp_tubeamp.lv2/profiles/v1.2/TwinReverb (spice).tapf
anylinux-show-player0.6.4-1Cue player designed for stage productions
Close

linux-show-player 0.6.4-1


Architecture:any
Base Package:linux-show-player (PKGBUILD)
Description:Cue player designed for stage productions
Upstream URL:https://www.linux-show-player.org/
Groups:pro-audio
Download Size:815.1 kB (Download)
Installed Size:4.8 MB
License:GPL-3.0-only
Build Date:2024-08-24 08:06 UTC
Dependencies:gobject-introspection-runtime
gst-plugins-good
python-appdirs
python-falcon
python-gobject
python-humanize
python-mido
python-pyalsa
python-pyliblo
python-pyqt5
python-requests
python-rtmidi
python-sortedcontainers
qt5-svg
gst-libav: for larger format support (optional)
gst-plugins-bad: for larger format support (optional)
gst-plugins-ugly: for larger format support (optional)
ola: for Art-Net timecode support (optional)
python-jack-client: for JACK output support (optional)
python-protobuf: for Art-Net timecode support (optional)
python-build (make)
python-installer (make)
python-poetry (make)
python-wheel (make)
Package Contents
usr/ usr/bin/ usr/bin/linux-show-player usr/lib/ usr/lib/python3.12/ usr/lib/python3.12/site-packages/ usr/lib/python3.12/site-packages/linux_show_player-0.6.4.dist-info/ usr/lib/python3.12/site-packages/linux_show_player-0.6.4.dist-info/entry_points.txt usr/lib/python3.12/site-packages/linux_show_player-0.6.4.dist-info/LICENSE usr/lib/python3.12/site-packages/linux_show_player-0.6.4.dist-info/METADATA usr/lib/python3.12/site-packages/linux_show_player-0.6.4.dist-info/RECORD usr/lib/python3.12/site-packages/linux_show_player-0.6.4.dist-info/WHEEL usr/lib/python3.12/site-packages/lisp/ usr/lib/python3.12/site-packages/lisp/__init__.py usr/lib/python3.12/site-packages/lisp/__pycache__/ usr/lib/python3.12/site-packages/lisp/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/__pycache__/application.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/__pycache__/application.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/__pycache__/main.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/__pycache__/main.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/application.py usr/lib/python3.12/site-packages/lisp/backend/ usr/lib/python3.12/site-packages/lisp/backend/__init__.py usr/lib/python3.12/site-packages/lisp/backend/__pycache__/ usr/lib/python3.12/site-packages/lisp/backend/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/backend/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/backend/__pycache__/audio_utils.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/backend/__pycache__/audio_utils.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/backend/__pycache__/backend.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/backend/__pycache__/backend.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/backend/__pycache__/media_element.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/backend/__pycache__/media_element.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/backend/__pycache__/media.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/backend/__pycache__/media.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/backend/__pycache__/waveform.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/backend/__pycache__/waveform.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/backend/audio_utils.py usr/lib/python3.12/site-packages/lisp/backend/backend.py usr/lib/python3.12/site-packages/lisp/backend/media_element.py usr/lib/python3.12/site-packages/lisp/backend/media.py usr/lib/python3.12/site-packages/lisp/backend/waveform.py usr/lib/python3.12/site-packages/lisp/command/ usr/lib/python3.12/site-packages/lisp/command/__init__.py usr/lib/python3.12/site-packages/lisp/command/__pycache__/ usr/lib/python3.12/site-packages/lisp/command/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/command/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/command/__pycache__/command.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/command/__pycache__/command.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/command/__pycache__/cue.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/command/__pycache__/cue.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/command/__pycache__/layout.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/command/__pycache__/layout.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/command/__pycache__/model.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/command/__pycache__/model.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/command/__pycache__/stack.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/command/__pycache__/stack.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/command/command.py usr/lib/python3.12/site-packages/lisp/command/cue.py usr/lib/python3.12/site-packages/lisp/command/layout.py usr/lib/python3.12/site-packages/lisp/command/model.py usr/lib/python3.12/site-packages/lisp/command/stack.py usr/lib/python3.12/site-packages/lisp/core/ usr/lib/python3.12/site-packages/lisp/core/__init__.py usr/lib/python3.12/site-packages/lisp/core/__pycache__/ usr/lib/python3.12/site-packages/lisp/core/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/class_based_registry.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/class_based_registry.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/clock.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/clock.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/configuration.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/configuration.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/decorators.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/decorators.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/dicttree.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/dicttree.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/fade_functions.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/fade_functions.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/fader.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/fader.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/has_properties.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/has_properties.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/loading.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/loading.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/model_adapter.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/model_adapter.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/model.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/model.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/plugin_loader.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/plugin_loader.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/plugin.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/plugin.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/plugins_manager.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/plugins_manager.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/properties.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/properties.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/proxy_model.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/proxy_model.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/qmeta.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/qmeta.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/rwait.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/rwait.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/session_uri.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/session_uri.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/session.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/session.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/signal.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/signal.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/singleton.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/singleton.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/util.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/core/__pycache__/util.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/core/class_based_registry.py usr/lib/python3.12/site-packages/lisp/core/clock.py usr/lib/python3.12/site-packages/lisp/core/configuration.py usr/lib/python3.12/site-packages/lisp/core/decorators.py usr/lib/python3.12/site-packages/lisp/core/dicttree.py usr/lib/python3.12/site-packages/lisp/core/fade_functions.py usr/lib/python3.12/site-packages/lisp/core/fader.py usr/lib/python3.12/site-packages/lisp/core/has_properties.py usr/lib/python3.12/site-packages/lisp/core/loading.py usr/lib/python3.12/site-packages/lisp/core/model_adapter.py usr/lib/python3.12/site-packages/lisp/core/model.py usr/lib/python3.12/site-packages/lisp/core/plugin_loader.py usr/lib/python3.12/site-packages/lisp/core/plugin.py usr/lib/python3.12/site-packages/lisp/core/plugins_manager.py usr/lib/python3.12/site-packages/lisp/core/properties.py usr/lib/python3.12/site-packages/lisp/core/proxy_model.py usr/lib/python3.12/site-packages/lisp/core/qmeta.py usr/lib/python3.12/site-packages/lisp/core/rwait.py usr/lib/python3.12/site-packages/lisp/core/session_uri.py usr/lib/python3.12/site-packages/lisp/core/session.py usr/lib/python3.12/site-packages/lisp/core/signal.py usr/lib/python3.12/site-packages/lisp/core/singleton.py usr/lib/python3.12/site-packages/lisp/core/util.py usr/lib/python3.12/site-packages/lisp/cues/ usr/lib/python3.12/site-packages/lisp/cues/__init__.py usr/lib/python3.12/site-packages/lisp/cues/__pycache__/ usr/lib/python3.12/site-packages/lisp/cues/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/cues/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/cues/__pycache__/cue_factory.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/cues/__pycache__/cue_factory.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/cues/__pycache__/cue_model.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/cues/__pycache__/cue_model.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/cues/__pycache__/cue_time.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/cues/__pycache__/cue_time.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/cues/__pycache__/cue.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/cues/__pycache__/cue.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/cues/__pycache__/media_cue.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/cues/__pycache__/media_cue.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/cues/cue_factory.py usr/lib/python3.12/site-packages/lisp/cues/cue_model.py usr/lib/python3.12/site-packages/lisp/cues/cue_time.py usr/lib/python3.12/site-packages/lisp/cues/cue.py usr/lib/python3.12/site-packages/lisp/cues/media_cue.py usr/lib/python3.12/site-packages/lisp/default.json usr/lib/python3.12/site-packages/lisp/i18n/ usr/lib/python3.12/site-packages/lisp/i18n/qm/ usr/lib/python3.12/site-packages/lisp/i18n/qm/base_ca_ES.qm usr/lib/python3.12/site-packages/lisp/i18n/qm/base_de_DE.qm usr/lib/python3.12/site-packages/lisp/i18n/qm/base_en.qm usr/lib/python3.12/site-packages/lisp/i18n/qm/base_fr_FR.qm usr/lib/python3.12/site-packages/lisp/i18n/qm/base_it_IT.qm usr/lib/python3.12/site-packages/lisp/i18n/qm/base_zh_CN.qm usr/lib/python3.12/site-packages/lisp/i18n/qm/base_zh_TW.qm usr/lib/python3.12/site-packages/lisp/layout/ usr/lib/python3.12/site-packages/lisp/layout/__init__.py usr/lib/python3.12/site-packages/lisp/layout/__pycache__/ usr/lib/python3.12/site-packages/lisp/layout/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/layout/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/layout/__pycache__/cue_layout.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/layout/__pycache__/cue_layout.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/layout/__pycache__/cue_menu.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/layout/__pycache__/cue_menu.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/layout/cue_layout.py usr/lib/python3.12/site-packages/lisp/layout/cue_menu.py usr/lib/python3.12/site-packages/lisp/main.py usr/lib/python3.12/site-packages/lisp/plugins/ usr/lib/python3.12/site-packages/lisp/plugins/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/action_cues/ usr/lib/python3.12/site-packages/lisp/plugins/action_cues/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/action_cues/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/action_cues/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/action_cues/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/action_cues/__pycache__/collection_cue.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/action_cues/__pycache__/collection_cue.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/action_cues/__pycache__/command_cue.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/action_cues/__pycache__/command_cue.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/action_cues/__pycache__/index_action_cue.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/action_cues/__pycache__/index_action_cue.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/action_cues/__pycache__/seek_cue.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/action_cues/__pycache__/seek_cue.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/action_cues/__pycache__/stop_all.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/action_cues/__pycache__/stop_all.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/action_cues/__pycache__/volume_control.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/action_cues/__pycache__/volume_control.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/action_cues/collection_cue.py usr/lib/python3.12/site-packages/lisp/plugins/action_cues/command_cue.py usr/lib/python3.12/site-packages/lisp/plugins/action_cues/index_action_cue.py usr/lib/python3.12/site-packages/lisp/plugins/action_cues/seek_cue.py usr/lib/python3.12/site-packages/lisp/plugins/action_cues/stop_all.py usr/lib/python3.12/site-packages/lisp/plugins/action_cues/volume_control.py usr/lib/python3.12/site-packages/lisp/plugins/cache_manager/ usr/lib/python3.12/site-packages/lisp/plugins/cache_manager/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/cache_manager/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/cache_manager/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/cache_manager/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/cache_manager/__pycache__/cache_manager.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/cache_manager/__pycache__/cache_manager.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/cache_manager/cache_manager.py usr/lib/python3.12/site-packages/lisp/plugins/cache_manager/default.json usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/ usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/__pycache__/cue_widget.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/__pycache__/cue_widget.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/__pycache__/layout.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/__pycache__/layout.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/__pycache__/model.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/__pycache__/model.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/__pycache__/page_widget.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/__pycache__/page_widget.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/__pycache__/settings.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/__pycache__/settings.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/__pycache__/tab_widget.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/__pycache__/tab_widget.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/cue_widget.py usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/default.json usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/layout.py usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/model.py usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/page_widget.py usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/settings.py usr/lib/python3.12/site-packages/lisp/plugins/cart_layout/tab_widget.py usr/lib/python3.12/site-packages/lisp/plugins/controller/ usr/lib/python3.12/site-packages/lisp/plugins/controller/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/controller/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/controller/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/controller/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/controller/__pycache__/common.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/controller/__pycache__/common.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/controller/__pycache__/controller_settings.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/controller/__pycache__/controller_settings.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/controller/__pycache__/controller.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/controller/__pycache__/controller.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/controller/__pycache__/protocol.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/controller/__pycache__/protocol.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/controller/common.py usr/lib/python3.12/site-packages/lisp/plugins/controller/controller_settings.py usr/lib/python3.12/site-packages/lisp/plugins/controller/controller.py usr/lib/python3.12/site-packages/lisp/plugins/controller/default.json usr/lib/python3.12/site-packages/lisp/plugins/controller/protocol.py usr/lib/python3.12/site-packages/lisp/plugins/controller/protocols/ usr/lib/python3.12/site-packages/lisp/plugins/controller/protocols/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/controller/protocols/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/controller/protocols/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/controller/protocols/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/controller/protocols/__pycache__/keyboard.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/controller/protocols/__pycache__/keyboard.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/controller/protocols/__pycache__/midi.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/controller/protocols/__pycache__/midi.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/controller/protocols/__pycache__/osc.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/controller/protocols/__pycache__/osc.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/controller/protocols/keyboard.py usr/lib/python3.12/site-packages/lisp/plugins/controller/protocols/midi.py usr/lib/python3.12/site-packages/lisp/plugins/controller/protocols/osc.py usr/lib/python3.12/site-packages/lisp/plugins/default.json usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/ usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gi_repository.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gi_repository.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gst_backend.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gst_backend.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gst_element.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gst_element.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gst_fader.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gst_fader.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gst_media_cue.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gst_media_cue.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gst_media_settings.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gst_media_settings.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gst_media.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gst_media.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gst_pipe_edit.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gst_pipe_edit.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gst_properties.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gst_properties.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gst_settings.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gst_settings.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gst_utils.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gst_utils.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gst_waveform.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/__pycache__/gst_waveform.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/config/ usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/config/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/config/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/config/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/config/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/config/__pycache__/alsa_sink.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/config/__pycache__/alsa_sink.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/config/alsa_sink.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/default.json usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/ usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/alsa_sink.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/alsa_sink.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/audio_dynamic.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/audio_dynamic.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/audio_pan.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/audio_pan.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/auto_sink.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/auto_sink.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/auto_src.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/auto_src.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/db_meter.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/db_meter.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/equalizer10.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/equalizer10.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/jack_sink.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/jack_sink.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/pitch.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/pitch.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/preset_src.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/preset_src.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/pulse_sink.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/pulse_sink.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/speed.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/speed.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/uri_input.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/uri_input.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/user_element.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/user_element.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/volume.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/__pycache__/volume.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/alsa_sink.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/audio_dynamic.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/audio_pan.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/auto_sink.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/auto_src.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/db_meter.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/equalizer10.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/jack_sink.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/pitch.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/preset_src.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/pulse_sink.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/speed.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/uri_input.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/user_element.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/elements/volume.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/gi_repository.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/gst_backend.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/gst_element.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/gst_fader.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/gst_media_cue.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/gst_media_settings.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/gst_media.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/gst_pipe_edit.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/gst_properties.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/gst_settings.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/gst_utils.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/gst_waveform.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/ usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/alsa_sink.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/alsa_sink.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/audio_dynamic.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/audio_dynamic.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/audio_pan.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/audio_pan.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/db_meter.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/db_meter.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/equalizer10.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/equalizer10.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/jack_sink.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/jack_sink.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/pitch.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/pitch.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/preset_src.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/preset_src.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/speed.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/speed.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/uri_input.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/uri_input.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/user_element.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/user_element.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/volume.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/__pycache__/volume.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/alsa_sink.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/audio_dynamic.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/audio_pan.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/db_meter.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/equalizer10.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/jack_sink.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/pitch.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/preset_src.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/speed.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/uri_input.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/user_element.py usr/lib/python3.12/site-packages/lisp/plugins/gst_backend/settings/volume.py usr/lib/python3.12/site-packages/lisp/plugins/list_layout/ usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/control_buttons.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/control_buttons.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/info_panel.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/info_panel.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/layout.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/layout.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/list_view.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/list_view.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/list_widgets.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/list_widgets.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/models.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/models.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/playing_view.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/playing_view.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/playing_widgets.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/playing_widgets.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/settings.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/settings.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/view.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/list_layout/__pycache__/view.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/list_layout/control_buttons.py usr/lib/python3.12/site-packages/lisp/plugins/list_layout/default.json usr/lib/python3.12/site-packages/lisp/plugins/list_layout/info_panel.py usr/lib/python3.12/site-packages/lisp/plugins/list_layout/layout.py usr/lib/python3.12/site-packages/lisp/plugins/list_layout/list_view.py usr/lib/python3.12/site-packages/lisp/plugins/list_layout/list_widgets.py usr/lib/python3.12/site-packages/lisp/plugins/list_layout/models.py usr/lib/python3.12/site-packages/lisp/plugins/list_layout/playing_view.py usr/lib/python3.12/site-packages/lisp/plugins/list_layout/playing_widgets.py usr/lib/python3.12/site-packages/lisp/plugins/list_layout/settings.py usr/lib/python3.12/site-packages/lisp/plugins/list_layout/view.py usr/lib/python3.12/site-packages/lisp/plugins/media_info/ usr/lib/python3.12/site-packages/lisp/plugins/media_info/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/media_info/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/media_info/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/media_info/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/media_info/__pycache__/media_info.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/media_info/__pycache__/media_info.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/media_info/media_info.py usr/lib/python3.12/site-packages/lisp/plugins/midi/ usr/lib/python3.12/site-packages/lisp/plugins/midi/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/midi/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/midi/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/midi/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/midi/__pycache__/midi_cue.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/midi/__pycache__/midi_cue.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/midi/__pycache__/midi_io.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/midi/__pycache__/midi_io.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/midi/__pycache__/midi_settings.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/midi/__pycache__/midi_settings.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/midi/__pycache__/midi_utils.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/midi/__pycache__/midi_utils.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/midi/__pycache__/midi.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/midi/__pycache__/midi.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/midi/__pycache__/port_monitor.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/midi/__pycache__/port_monitor.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/midi/__pycache__/widgets.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/midi/__pycache__/widgets.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/midi/default.json usr/lib/python3.12/site-packages/lisp/plugins/midi/midi_cue.py usr/lib/python3.12/site-packages/lisp/plugins/midi/midi_io.py usr/lib/python3.12/site-packages/lisp/plugins/midi/midi_settings.py usr/lib/python3.12/site-packages/lisp/plugins/midi/midi_utils.py usr/lib/python3.12/site-packages/lisp/plugins/midi/midi.py usr/lib/python3.12/site-packages/lisp/plugins/midi/port_monitor.py usr/lib/python3.12/site-packages/lisp/plugins/midi/widgets.py usr/lib/python3.12/site-packages/lisp/plugins/network/ usr/lib/python3.12/site-packages/lisp/plugins/network/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/network/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/network/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/network/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/network/__pycache__/discovery_dialogs.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/network/__pycache__/discovery_dialogs.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/network/__pycache__/discovery.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/network/__pycache__/discovery.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/network/__pycache__/endpoint.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/network/__pycache__/endpoint.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/network/__pycache__/network.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/network/__pycache__/network.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/network/__pycache__/server.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/network/__pycache__/server.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/network/api/ usr/lib/python3.12/site-packages/lisp/plugins/network/api/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/network/api/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/network/api/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/network/api/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/network/api/__pycache__/cues.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/network/api/__pycache__/cues.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/network/api/__pycache__/layout.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/network/api/__pycache__/layout.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/network/api/cues.py usr/lib/python3.12/site-packages/lisp/plugins/network/api/layout.py usr/lib/python3.12/site-packages/lisp/plugins/network/default.json usr/lib/python3.12/site-packages/lisp/plugins/network/discovery_dialogs.py usr/lib/python3.12/site-packages/lisp/plugins/network/discovery.py usr/lib/python3.12/site-packages/lisp/plugins/network/endpoint.py usr/lib/python3.12/site-packages/lisp/plugins/network/network.py usr/lib/python3.12/site-packages/lisp/plugins/network/server.py usr/lib/python3.12/site-packages/lisp/plugins/osc/ usr/lib/python3.12/site-packages/lisp/plugins/osc/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/osc/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/osc/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/osc/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/osc/__pycache__/osc_cue.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/osc/__pycache__/osc_cue.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/osc/__pycache__/osc_delegate.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/osc/__pycache__/osc_delegate.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/osc/__pycache__/osc_server.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/osc/__pycache__/osc_server.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/osc/__pycache__/osc_settings.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/osc/__pycache__/osc_settings.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/osc/__pycache__/osc.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/osc/__pycache__/osc.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/osc/default.json usr/lib/python3.12/site-packages/lisp/plugins/osc/osc_cue.py usr/lib/python3.12/site-packages/lisp/plugins/osc/osc_delegate.py usr/lib/python3.12/site-packages/lisp/plugins/osc/osc_server.py usr/lib/python3.12/site-packages/lisp/plugins/osc/osc_settings.py usr/lib/python3.12/site-packages/lisp/plugins/osc/osc.py usr/lib/python3.12/site-packages/lisp/plugins/presets/ usr/lib/python3.12/site-packages/lisp/plugins/presets/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/presets/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/presets/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/presets/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/presets/__pycache__/lib.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/presets/__pycache__/lib.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/presets/__pycache__/presets_ui.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/presets/__pycache__/presets_ui.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/presets/__pycache__/presets.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/presets/__pycache__/presets.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/presets/lib.py usr/lib/python3.12/site-packages/lisp/plugins/presets/presets_ui.py usr/lib/python3.12/site-packages/lisp/plugins/presets/presets.py usr/lib/python3.12/site-packages/lisp/plugins/rename_cues/ usr/lib/python3.12/site-packages/lisp/plugins/rename_cues/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/rename_cues/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/rename_cues/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/rename_cues/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/rename_cues/__pycache__/command.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/rename_cues/__pycache__/command.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/rename_cues/__pycache__/rename_cues.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/rename_cues/__pycache__/rename_cues.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/rename_cues/__pycache__/rename_ui.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/rename_cues/__pycache__/rename_ui.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/rename_cues/command.py usr/lib/python3.12/site-packages/lisp/plugins/rename_cues/rename_cues.py usr/lib/python3.12/site-packages/lisp/plugins/rename_cues/rename_ui.py usr/lib/python3.12/site-packages/lisp/plugins/replay_gain/ usr/lib/python3.12/site-packages/lisp/plugins/replay_gain/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/replay_gain/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/replay_gain/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/replay_gain/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/replay_gain/__pycache__/gain_ui.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/replay_gain/__pycache__/gain_ui.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/replay_gain/__pycache__/replay_gain.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/replay_gain/__pycache__/replay_gain.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/replay_gain/gain_ui.py usr/lib/python3.12/site-packages/lisp/plugins/replay_gain/replay_gain.py usr/lib/python3.12/site-packages/lisp/plugins/synchronizer/ usr/lib/python3.12/site-packages/lisp/plugins/synchronizer/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/synchronizer/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/synchronizer/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/synchronizer/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/synchronizer/__pycache__/synchronizer.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/synchronizer/__pycache__/synchronizer.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/synchronizer/default.json usr/lib/python3.12/site-packages/lisp/plugins/synchronizer/synchronizer.py usr/lib/python3.12/site-packages/lisp/plugins/timecode/ usr/lib/python3.12/site-packages/lisp/plugins/timecode/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/timecode/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/timecode/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/timecode/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/timecode/__pycache__/cue_tracker.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/timecode/__pycache__/cue_tracker.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/timecode/__pycache__/protocol.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/timecode/__pycache__/protocol.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/timecode/__pycache__/settings.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/timecode/__pycache__/settings.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/timecode/__pycache__/timecode.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/timecode/__pycache__/timecode.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/timecode/cue_tracker.py usr/lib/python3.12/site-packages/lisp/plugins/timecode/default.json usr/lib/python3.12/site-packages/lisp/plugins/timecode/protocol.py usr/lib/python3.12/site-packages/lisp/plugins/timecode/protocols/ usr/lib/python3.12/site-packages/lisp/plugins/timecode/protocols/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/timecode/protocols/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/timecode/protocols/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/timecode/protocols/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/timecode/protocols/__pycache__/artnet.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/timecode/protocols/__pycache__/artnet.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/timecode/protocols/__pycache__/midi.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/timecode/protocols/__pycache__/midi.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/timecode/protocols/artnet.py usr/lib/python3.12/site-packages/lisp/plugins/timecode/protocols/midi.py usr/lib/python3.12/site-packages/lisp/plugins/timecode/settings.py usr/lib/python3.12/site-packages/lisp/plugins/timecode/timecode.py usr/lib/python3.12/site-packages/lisp/plugins/triggers/ usr/lib/python3.12/site-packages/lisp/plugins/triggers/__init__.py usr/lib/python3.12/site-packages/lisp/plugins/triggers/__pycache__/ usr/lib/python3.12/site-packages/lisp/plugins/triggers/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/triggers/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/triggers/__pycache__/triggers_handler.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/triggers/__pycache__/triggers_handler.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/triggers/__pycache__/triggers_settings.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/triggers/__pycache__/triggers_settings.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/triggers/__pycache__/triggers.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/plugins/triggers/__pycache__/triggers.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/plugins/triggers/triggers_handler.py usr/lib/python3.12/site-packages/lisp/plugins/triggers/triggers_settings.py usr/lib/python3.12/site-packages/lisp/plugins/triggers/triggers.py usr/lib/python3.12/site-packages/lisp/ui/ usr/lib/python3.12/site-packages/lisp/ui/__init__.py usr/lib/python3.12/site-packages/lisp/ui/__pycache__/ usr/lib/python3.12/site-packages/lisp/ui/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/__pycache__/about.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/__pycache__/about.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/__pycache__/cuelistdialog.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/__pycache__/cuelistdialog.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/__pycache__/layoutselect.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/__pycache__/layoutselect.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/__pycache__/mainwindow.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/__pycache__/mainwindow.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/__pycache__/qdelegates.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/__pycache__/qdelegates.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/__pycache__/qmodels.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/__pycache__/qmodels.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/__pycache__/ui_utils.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/__pycache__/ui_utils.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/about.py usr/lib/python3.12/site-packages/lisp/ui/cuelistdialog.py usr/lib/python3.12/site-packages/lisp/ui/icons/ usr/lib/python3.12/site-packages/lisp/ui/icons/__init__.py usr/lib/python3.12/site-packages/lisp/ui/icons/__pycache__/ usr/lib/python3.12/site-packages/lisp/ui/icons/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/icons/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/icons/lisp/ usr/lib/python3.12/site-packages/lisp/ui/icons/lisp/fadein-linear.png usr/lib/python3.12/site-packages/lisp/ui/icons/lisp/fadein-quadratic.png usr/lib/python3.12/site-packages/lisp/ui/icons/lisp/fadein-quadratic2.png usr/lib/python3.12/site-packages/lisp/ui/icons/lisp/fadeout-linear.png usr/lib/python3.12/site-packages/lisp/ui/icons/lisp/fadeout-quadratic.png usr/lib/python3.12/site-packages/lisp/ui/icons/lisp/fadeout-quadratic2.png usr/lib/python3.12/site-packages/lisp/ui/icons/lisp/led-error-outline.svg usr/lib/python3.12/site-packages/lisp/ui/icons/lisp/led-error.svg usr/lib/python3.12/site-packages/lisp/ui/icons/lisp/led-off-outline.svg usr/lib/python3.12/site-packages/lisp/ui/icons/lisp/led-off.svg usr/lib/python3.12/site-packages/lisp/ui/icons/lisp/led-pause-outline.svg usr/lib/python3.12/site-packages/lisp/ui/icons/lisp/led-pause.svg usr/lib/python3.12/site-packages/lisp/ui/icons/lisp/led-running-outline.svg usr/lib/python3.12/site-packages/lisp/ui/icons/lisp/led-running.svg usr/lib/python3.12/site-packages/lisp/ui/icons/lisp/linux-show-player.png usr/lib/python3.12/site-packages/lisp/ui/icons/lisp/mixer-handle.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/ usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/ usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/address-book-new-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/address-book-new.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/application-exit-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/application-exit.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/appointment-new-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/appointment-new.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/call-start-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/call-start.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/call-stop-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/call-stop.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/contact-new-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/contact-new.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/dialog-apply.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/dialog-cancel.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/dialog-close.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/dialog-no.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/dialog-ok.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/dialog-yes.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/document-new-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/document-new.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/document-open-recent-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/document-open-recent.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/document-open-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/document-open.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/document-page-setup-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/document-page-setup.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/document-print-preview-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/document-print-preview.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/document-print-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/document-print.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/document-properties-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/document-properties.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/document-revert-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/document-revert.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/document-save-as-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/document-save-as.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/document-save-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/document-save.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/document-send-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/document-send.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/edit-clear-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/edit-clear.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/edit-copy-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/edit-copy.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/edit-cut-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/edit-cut.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/edit-delete-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/edit-delete.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/edit-find-replace-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/edit-find-replace.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/edit-find-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/edit-find.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/edit-paste-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/edit-paste.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/edit-redo-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/edit-redo.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/edit-select-all-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/edit-select-all.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/edit-undo-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/edit-undo.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/folder-new.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-indent-less-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-indent-less.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-indent-more-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-indent-more.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-justify-center-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-justify-center.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-justify-fill-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-justify-fill.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-justify-left-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-justify-left.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-justify-right-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-justify-right.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-text-bold-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-text-bold.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-text-direction-ltr-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-text-direction-ltr.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-text-direction-rtl-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-text-direction-rtl.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-text-italic-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-text-italic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-text-strikethrough-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-text-strikethrough.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-text-underline-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/format-text-underline.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/go-bottom-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/go-bottom.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/go-down-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/go-down.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/go-first-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/go-first.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/go-home-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/go-home.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/go-jump-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/go-jump.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/go-last-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/go-last.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/go-next-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/go-next.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/go-previous-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/go-previous.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/go-top-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/go-top.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/go-up-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/go-up.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/help-about-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/help-about.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/help-contents.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/help-faq.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/insert-image-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/insert-image.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/insert-link-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/insert-link.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/insert-object-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/insert-object.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/insert-text-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/insert-text.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/list-add-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/list-add.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/list-remove-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/list-remove.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/mail-forward-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/mail-forward.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/mail-mark-important-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/mail-mark-important.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/mail-mark-junk.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/mail-mark-notjunk.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/mail-mark-read.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/mail-mark-unread.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/mail-message-new.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/mail-reply-all-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/mail-reply-all.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/mail-reply-sender-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/mail-reply-sender.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/mail-send-receive-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/mail-send-receive.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/mail-send-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/mail-send.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/media-eject-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/media-eject.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/media-playback-pause-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/media-playback-pause.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/media-playback-start-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/media-playback-start.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/media-playback-stop-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/media-playback-stop.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/media-record-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/media-record.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/media-seek-backward-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/media-seek-backward.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/media-seek-forward-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/media-seek-forward.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/media-skip-backward-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/media-skip-backward.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/media-skip-forward-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/media-skip-forward.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/object-flip-horizontal-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/object-flip-horizontal.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/object-flip-vertical-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/object-flip-vertical.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/object-rotate-left-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/object-rotate-left.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/object-rotate-right-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/object-rotate-right.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/process-stop-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/process-stop.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/system-lock-screen.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/system-log-out.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/system-reboot.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/system-run-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/system-run.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/system-search-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/system-search.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/system-shutdown-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/system-shutdown.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/tools-check-spelling-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/tools-check-spelling.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/view-fullscreen-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/view-fullscreen.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/view-refresh-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/view-refresh.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/view-restore-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/view-restore.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/view-sort-ascending-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/view-sort-ascending.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/view-sort-descending-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/view-sort-descending.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/window-close-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/window-close.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/window-new.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/zoom-fit-best-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/zoom-fit-best.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/zoom-in-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/zoom-in.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/zoom-original-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/zoom-original.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/zoom-out-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/actions/zoom-out.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/ usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/applications-accessories.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/applications-development.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/applications-engineering-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/applications-engineering.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/applications-games-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/applications-games.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/applications-graphics-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/applications-graphics.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/applications-internet-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/applications-internet.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/applications-multimedia-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/applications-multimedia.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/applications-office.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/applications-other.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/applications-science-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/applications-science.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/applications-system-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/applications-system.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/applications-utilities-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/applications-utilities.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/preferences-desktop-peripherals.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/preferences-desktop-personal.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/preferences-desktop.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/preferences-other-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/preferences-other.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/preferences-system-network.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/preferences-system-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/preferences-system.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/system-help-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/categories/system-help.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/custom/ usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/custom/cue-interrupt.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/custom/cue-pause.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/custom/cue-select-next.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/custom/cue-start.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/custom/cue-stop.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/custom/cue-trigger-next.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/custom/fadein-generic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/custom/fadeout-generic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/ usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/audio-card-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/audio-card.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/audio-input-microphone-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/audio-input-microphone.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/battery-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/battery.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/camera-photo-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/camera-photo.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/camera-video-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/camera-web-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/camera-web.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/computer-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/computer.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/drive-harddisk-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/drive-harddisk.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/drive-optical-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/drive-optical.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/drive-removable-media.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/input-gaming-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/input-gaming.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/input-keyboard-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/input-keyboard.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/input-mouse-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/input-mouse.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/input-tablet-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/input-tablet.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/media-flash-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/media-flash.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/media-floppy-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/media-floppy.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/media-optical-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/media-optical.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/media-tape-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/media-tape.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/multimedia-player-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/multimedia-player.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/network-wired.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/network-wireless.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/pda-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/pda.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/phone-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/phone.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/printer-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/printer.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/scanner-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/scanner.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/video-display-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/devices/video-display.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/emblems/ usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/emblems/emblem-default-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/emblems/emblem-default.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/emblems/emblem-documents-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/emblems/emblem-documents.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/emblems/emblem-downloads.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/emblems/emblem-favorite-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/emblems/emblem-favorite.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/emblems/emblem-important-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/emblems/emblem-important.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/emblems/emblem-mail.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/emblems/emblem-photos-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/emblems/emblem-photos.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/emblems/emblem-readonly.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/emblems/emblem-shared-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/emblems/emblem-shared.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/emblems/emblem-symbolic-link.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/emblems/emblem-system-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/emblems/emblem-system.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/emblems/emblem-unreadable.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/index.theme usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/places/ usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/places/folder-remote.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/places/folder-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/places/folder.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/places/network-server-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/places/network-server.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/places/network-workgroup-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/places/network-workgroup.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/places/start-here-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/places/start-here.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/places/user-bookmarks-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/places/user-bookmarks.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/places/user-desktop-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/places/user-desktop.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/places/user-home-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/places/user-home.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/places/user-trash-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/places/user-trash.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/ usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/appointment-missed-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/appointment-missed.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/appointment-soon-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/appointment-soon.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/audio-volume-high-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/audio-volume-high.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/audio-volume-low-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/audio-volume-low.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/audio-volume-medium-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/audio-volume-medium.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/audio-volume-muted-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/audio-volume-muted.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/battery-caution-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/battery-caution.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/battery-low-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/battery-low.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/dialog-error-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/dialog-error.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/dialog-information-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/dialog-information.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/dialog-password-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/dialog-password.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/dialog-question-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/dialog-question.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/dialog-warning-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/dialog-warning.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/folder-drag-accept.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/folder-open.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/folder-visiting.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/image-loading.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/image-missing.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/mail-attachment-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/mail-attachment.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/mail-read-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/mail-read.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/mail-replied-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/mail-replied.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/mail-unread-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/mail-unread.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/media-playlist-repeat-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/media-playlist-repeat.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/media-playlist-shuffle-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/media-playlist-shuffle.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/network-error-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/network-error.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/network-idle-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/network-idle.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/network-offline-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/network-offline.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/network-receive-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/network-receive.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/network-transmit-receive-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/network-transmit-receive.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/network-transmit-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/network-transmit.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/printer-error-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/printer-error.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/printer-printing-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/printer-printing.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/security-high-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/security-high.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/security-low-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/security-low.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/security-medium-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/security-medium.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/software-update-available-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/software-update-urgent-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/task-due-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/task-due.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/task-past-due-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/task-past-due.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/user-available-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/user-available.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/user-away-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/user-away.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/user-idle-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/user-idle.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/user-offline-symbolic.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/user-offline.svg usr/lib/python3.12/site-packages/lisp/ui/icons/Numix/status/user-trash-full.svg usr/lib/python3.12/site-packages/lisp/ui/layoutselect.py usr/lib/python3.12/site-packages/lisp/ui/logging/ usr/lib/python3.12/site-packages/lisp/ui/logging/__init__.py usr/lib/python3.12/site-packages/lisp/ui/logging/__pycache__/ usr/lib/python3.12/site-packages/lisp/ui/logging/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/logging/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/logging/__pycache__/common.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/logging/__pycache__/common.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/logging/__pycache__/details.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/logging/__pycache__/details.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/logging/__pycache__/dialog.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/logging/__pycache__/dialog.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/logging/__pycache__/handler.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/logging/__pycache__/handler.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/logging/__pycache__/models.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/logging/__pycache__/models.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/logging/__pycache__/status.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/logging/__pycache__/status.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/logging/__pycache__/viewer.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/logging/__pycache__/viewer.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/logging/common.py usr/lib/python3.12/site-packages/lisp/ui/logging/details.py usr/lib/python3.12/site-packages/lisp/ui/logging/dialog.py usr/lib/python3.12/site-packages/lisp/ui/logging/handler.py usr/lib/python3.12/site-packages/lisp/ui/logging/models.py usr/lib/python3.12/site-packages/lisp/ui/logging/status.py usr/lib/python3.12/site-packages/lisp/ui/logging/viewer.py usr/lib/python3.12/site-packages/lisp/ui/mainwindow.py usr/lib/python3.12/site-packages/lisp/ui/qdelegates.py usr/lib/python3.12/site-packages/lisp/ui/qmodels.py usr/lib/python3.12/site-packages/lisp/ui/settings/ usr/lib/python3.12/site-packages/lisp/ui/settings/__init__.py usr/lib/python3.12/site-packages/lisp/ui/settings/__pycache__/ usr/lib/python3.12/site-packages/lisp/ui/settings/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/__pycache__/app_configuration.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/__pycache__/app_configuration.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/__pycache__/cue_settings.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/__pycache__/cue_settings.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/__pycache__/pages.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/__pycache__/pages.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/app_configuration.py usr/lib/python3.12/site-packages/lisp/ui/settings/app_pages/ usr/lib/python3.12/site-packages/lisp/ui/settings/app_pages/__init__.py usr/lib/python3.12/site-packages/lisp/ui/settings/app_pages/__pycache__/ usr/lib/python3.12/site-packages/lisp/ui/settings/app_pages/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/app_pages/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/app_pages/__pycache__/cue.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/app_pages/__pycache__/cue.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/app_pages/__pycache__/general.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/app_pages/__pycache__/general.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/app_pages/__pycache__/layouts.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/app_pages/__pycache__/layouts.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/app_pages/__pycache__/plugins.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/app_pages/__pycache__/plugins.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/app_pages/cue.py usr/lib/python3.12/site-packages/lisp/ui/settings/app_pages/general.py usr/lib/python3.12/site-packages/lisp/ui/settings/app_pages/layouts.py usr/lib/python3.12/site-packages/lisp/ui/settings/app_pages/plugins.py usr/lib/python3.12/site-packages/lisp/ui/settings/cue_pages/ usr/lib/python3.12/site-packages/lisp/ui/settings/cue_pages/__init__.py usr/lib/python3.12/site-packages/lisp/ui/settings/cue_pages/__pycache__/ usr/lib/python3.12/site-packages/lisp/ui/settings/cue_pages/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/cue_pages/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/cue_pages/__pycache__/cue_appearance.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/cue_pages/__pycache__/cue_appearance.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/cue_pages/__pycache__/cue_general.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/cue_pages/__pycache__/cue_general.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/cue_pages/__pycache__/media_cue.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/cue_pages/__pycache__/media_cue.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/settings/cue_pages/cue_appearance.py usr/lib/python3.12/site-packages/lisp/ui/settings/cue_pages/cue_general.py usr/lib/python3.12/site-packages/lisp/ui/settings/cue_pages/media_cue.py usr/lib/python3.12/site-packages/lisp/ui/settings/cue_settings.py usr/lib/python3.12/site-packages/lisp/ui/settings/pages.py usr/lib/python3.12/site-packages/lisp/ui/themes/ usr/lib/python3.12/site-packages/lisp/ui/themes/__init__.py usr/lib/python3.12/site-packages/lisp/ui/themes/__pycache__/ usr/lib/python3.12/site-packages/lisp/ui/themes/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/themes/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/themes/dark/ usr/lib/python3.12/site-packages/lisp/ui/themes/dark/__init__.py usr/lib/python3.12/site-packages/lisp/ui/themes/dark/__pycache__/ usr/lib/python3.12/site-packages/lisp/ui/themes/dark/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/themes/dark/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/themes/dark/__pycache__/assets.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/themes/dark/__pycache__/assets.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/themes/dark/__pycache__/dark.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/themes/dark/__pycache__/dark.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/themes/dark/assets.py usr/lib/python3.12/site-packages/lisp/ui/themes/dark/assets.qrc usr/lib/python3.12/site-packages/lisp/ui/themes/dark/dark.py usr/lib/python3.12/site-packages/lisp/ui/themes/dark/theme.qss usr/lib/python3.12/site-packages/lisp/ui/ui_utils.py usr/lib/python3.12/site-packages/lisp/ui/widgets/ usr/lib/python3.12/site-packages/lisp/ui/widgets/__init__.py usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/ usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/colorbutton.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/colorbutton.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/cue_actions.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/cue_actions.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/cue_next_actions.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/cue_next_actions.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/dbmeter.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/dbmeter.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/digitalclock.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/digitalclock.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/dynamicfontsize.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/dynamicfontsize.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/elidedlabel.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/elidedlabel.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/fades.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/fades.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/hotkeyedit.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/hotkeyedit.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/locales.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/locales.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/pagestreewidget.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/pagestreewidget.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/qclicklabel.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/qclicklabel.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/qclickslider.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/qclickslider.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/qeditabletabbar.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/qeditabletabbar.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/qenumcombobox.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/qenumcombobox.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/qiconpushbutton.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/qiconpushbutton.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/qmutebutton.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/qmutebutton.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/qsteptimeedit.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/qsteptimeedit.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/qstyledslider.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/qstyledslider.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/qvertiacallabel.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/qvertiacallabel.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/qwaitingspinner.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/qwaitingspinner.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/waveform.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/__pycache__/waveform.cpython-312.pyc usr/lib/python3.12/site-packages/lisp/ui/widgets/colorbutton.py usr/lib/python3.12/site-packages/lisp/ui/widgets/cue_actions.py usr/lib/python3.12/site-packages/lisp/ui/widgets/cue_next_actions.py usr/lib/python3.12/site-packages/lisp/ui/widgets/dbmeter.py usr/lib/python3.12/site-packages/lisp/ui/widgets/digitalclock.py usr/lib/python3.12/site-packages/lisp/ui/widgets/dynamicfontsize.py usr/lib/python3.12/site-packages/lisp/ui/widgets/elidedlabel.py usr/lib/python3.12/site-packages/lisp/ui/widgets/fades.py usr/lib/python3.12/site-packages/lisp/ui/widgets/hotkeyedit.py usr/lib/python3.12/site-packages/lisp/ui/widgets/locales.py usr/lib/python3.12/site-packages/lisp/ui/widgets/pagestreewidget.py usr/lib/python3.12/site-packages/lisp/ui/widgets/qclicklabel.py usr/lib/python3.12/site-packages/lisp/ui/widgets/qclickslider.py usr/lib/python3.12/site-packages/lisp/ui/widgets/qeditabletabbar.py usr/lib/python3.12/site-packages/lisp/ui/widgets/qenumcombobox.py usr/lib/python3.12/site-packages/lisp/ui/widgets/qiconpushbutton.py usr/lib/python3.12/site-packages/lisp/ui/widgets/qmutebutton.py usr/lib/python3.12/site-packages/lisp/ui/widgets/qsteptimeedit.py usr/lib/python3.12/site-packages/lisp/ui/widgets/qstyledslider.py usr/lib/python3.12/site-packages/lisp/ui/widgets/qvertiacallabel.py usr/lib/python3.12/site-packages/lisp/ui/widgets/qwaitingspinner.py usr/lib/python3.12/site-packages/lisp/ui/widgets/waveform.py usr/share/ usr/share/applications/ usr/share/applications/linuxshowplayer.desktop usr/share/metainfo/ usr/share/metainfo/linuxshowplayer.metainfo.xml usr/share/mime/ usr/share/mime/packages/ usr/share/mime/packages/linuxshowplayer.xml usr/share/pixmaps/ usr/share/pixmaps/linuxshowplayer.png
aarch64littlefly.lv21.0-3A high gain overdrive/distortion pedal simulation LV2 plugin
Close

littlefly.lv2 1.0-3


Architecture:aarch64
Base Package:littlefly.lv2 (PKGBUILD)
Description:A high gain overdrive/distortion pedal simulation LV2 plugin
Upstream URL:https://github.com/brummer10/LittleFly.lv2
Groups:lv2-plugins
pro-audio
Download Size:111.6 kB (Download)
Installed Size:196.0 kB
License:custom:0BSD
Build Date:2022-11-06 23:05 UTC
Dependencies:cairo
gcc-libs
libx11
lv2-host: for loading the LV2 plugin (optional)
lv2 (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/LittleFly.lv2/ usr/lib/lv2/LittleFly.lv2/LittleFly_ui.so usr/lib/lv2/LittleFly.lv2/LittleFly.so usr/lib/lv2/LittleFly.lv2/LittleFly.ttl usr/lib/lv2/LittleFly.lv2/manifest.ttl usr/share/ usr/share/doc/ usr/share/doc/littlefly.lv2/ usr/share/doc/littlefly.lv2/LittleFly.png usr/share/doc/littlefly.lv2/README.md usr/share/licenses/ usr/share/licenses/littlefly.lv2/ usr/share/licenses/littlefly.lv2/LICENSE
x86_64littlefly.lv21.0-3A high gain overdrive/distortion pedal simulation LV2 plugin
Close

littlefly.lv2 1.0-3


Architecture:x86_64
Base Package:littlefly.lv2 (PKGBUILD)
Description:A high gain overdrive/distortion pedal simulation LV2 plugin
Upstream URL:https://github.com/brummer10/LittleFly.lv2
Groups:lv2-plugins
pro-audio
Download Size:132.9 kB (Download)
Installed Size:220.6 kB
License:custom:0BSD
Build Date:2022-11-06 23:05 UTC
Dependencies:cairo
gcc-libs
libx11
lv2-host: for loading the LV2 plugin (optional)
lv2 (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/LittleFly.lv2/ usr/lib/lv2/LittleFly.lv2/LittleFly_ui.so usr/lib/lv2/LittleFly.lv2/LittleFly.so usr/lib/lv2/LittleFly.lv2/LittleFly.ttl usr/lib/lv2/LittleFly.lv2/manifest.ttl usr/share/ usr/share/doc/ usr/share/doc/littlefly.lv2/ usr/share/doc/littlefly.lv2/LittleFly.png usr/share/doc/littlefly.lv2/README.md usr/share/licenses/ usr/share/licenses/littlefly.lv2/ usr/share/licenses/littlefly.lv2/LICENSE
aarch64lpd8editor0.0.16-2A Linux editor for the Akai LPD8 pad controller
Close

lpd8editor 0.0.16-2


Architecture:aarch64
Base Package:lpd8editor (PKGBUILD)
Description:A Linux editor for the Akai LPD8 pad controller
Upstream URL:https://github.com/charlesfleche/lpd8editor
Groups:pro-audio
Download Size:65.4 kB (Download)
Installed Size:246.4 kB
License:MIT
Build Date:2023-04-05 10:06 UTC
Dependencies:graphite
libasound.so=2-64
qt5-base
alsa-lib (make)
cmake (make)
qt5-svg (make)
qt5-tools (make)
Package Contents
usr/ usr/bin/ usr/bin/lpd8editor usr/share/ usr/share/licenses/ usr/share/licenses/lpd8editor/ usr/share/licenses/lpd8editor/LICENSE usr/share/lpd8editor/ usr/share/lpd8editor/lpd8editor/ usr/share/lpd8editor/lpd8editor/lpd8editor.el.qm usr/share/lpd8editor/lpd8editor/lpd8editor.fr.qm usr/share/lpd8editor/lpd8editor/lpd8editor.it.qm
x86_64lpd8editor0.0.16-2A Linux editor for the Akai LPD8 pad controller
Close

lpd8editor 0.0.16-2


Architecture:x86_64
Base Package:lpd8editor (PKGBUILD)
Description:A Linux editor for the Akai LPD8 pad controller
Upstream URL:https://github.com/charlesfleche/lpd8editor
Groups:pro-audio
Download Size:88.4 kB (Download)
Installed Size:226.0 kB
License:MIT
Build Date:2023-04-05 10:06 UTC
Dependencies:graphite
libasound.so=2-64
qt5-base
alsa-lib (make)
cmake (make)
qt5-svg (make)
qt5-tools (make)
Package Contents
usr/ usr/bin/ usr/bin/lpd8editor usr/share/ usr/share/licenses/ usr/share/licenses/lpd8editor/ usr/share/licenses/lpd8editor/LICENSE usr/share/lpd8editor/ usr/share/lpd8editor/lpd8editor/ usr/share/lpd8editor/lpd8editor/lpd8editor.el.qm usr/share/lpd8editor/lpd8editor/lpd8editor.fr.qm usr/share/lpd8editor/lpd8editor/lpd8editor.it.qm
aarch64mamba2.6-1A virtual MIDI keyboard and file player/recorder for ALSA/JACK
Close

mamba 2.6-1


Architecture:aarch64
Base Package:mamba (PKGBUILD)
Description:A virtual MIDI keyboard and file player/recorder for ALSA/JACK
Upstream URL:https://github.com/brummer10/Mamba
Groups:pro-audio
Download Size:471.7 kB (Download)
Installed Size:996.2 kB
Licenses:0BSD
MIT
Build Date:2024-03-23 18:14 UTC
Dependencies:cairo
gcc-libs
glibc
libasound.so=2-64
libfluidsynth.so=3-64
libjack.so=0-64
liblo.so=7-64
libsigc-2.0.so=0-64
libsmf.so=0-64
libx11
new-session-manager: for NSM support (optional)
alsa-lib (make)
fluidsynth (make)
graphite (make)
jack (make)
liblo (make)
libsigc++ (make)
libsmf (make)
xxd (make)
Package Contents
usr/ usr/bin/ usr/bin/mamba usr/share/ usr/share/applications/ usr/share/applications/Mamba.desktop usr/share/doc/ usr/share/doc/mamba/ usr/share/doc/mamba/README.md usr/share/licenses/ usr/share/licenses/mamba/ usr/share/licenses/mamba/LICENSE usr/share/licenses/mamba/LICENSE.txt usr/share/pixmaps/ usr/share/pixmaps/Mamba.svg
x86_64mamba2.6-1A virtual MIDI keyboard and file player/recorder for ALSA/JACK
Close

mamba 2.6-1


Architecture:x86_64
Base Package:mamba (PKGBUILD)
Description:A virtual MIDI keyboard and file player/recorder for ALSA/JACK
Upstream URL:https://github.com/brummer10/Mamba
Groups:pro-audio
Download Size:502.7 kB (Download)
Installed Size:940.8 kB
Licenses:0BSD
MIT
Build Date:2024-03-23 18:14 UTC
Dependencies:cairo
gcc-libs
glibc
libasound.so=2-64
libfluidsynth.so=3-64
libjack.so=0-64
liblo.so=7-64
libsigc-2.0.so=0-64
libsmf.so=0-64
libx11
new-session-manager: for NSM support (optional)
alsa-lib (make)
fluidsynth (make)
graphite (make)
jack (make)
liblo (make)
libsigc++ (make)
libsmf (make)
xxd (make)
Package Contents
usr/ usr/bin/ usr/bin/mamba usr/share/ usr/share/applications/ usr/share/applications/Mamba.desktop usr/share/doc/ usr/share/doc/mamba/ usr/share/doc/mamba/README.md usr/share/licenses/ usr/share/licenses/mamba/ usr/share/licenses/mamba/LICENSE usr/share/licenses/mamba/LICENSE.txt usr/share/pixmaps/ usr/share/pixmaps/Mamba.svg
aarch64mclk.lv20.2.3-1A MIDI clock and realtime-message generator LV2 plugin
Close

mclk.lv2 0.2.3-1


Architecture:aarch64
Base Package:mclk.lv2 (PKGBUILD)
Description:A MIDI clock and realtime-message generator LV2 plugin
Upstream URL:https://github.com/x42/mclk.lv2
Groups:lv2-plugins
pro-audio
Download Size:8.6 kB (Download)
Installed Size:19.1 kB
License:GPL2
Build Date:2023-05-11 21:40 UTC
Dependencies:glibc
lv2 (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/mclk.lv2/ usr/lib/lv2/mclk.lv2/manifest.ttl usr/lib/lv2/mclk.lv2/mclk.so usr/lib/lv2/mclk.lv2/mclk.ttl
x86_64mclk.lv20.2.3-1A MIDI clock and realtime-message generator LV2 plugin
Close

mclk.lv2 0.2.3-1


Architecture:x86_64
Base Package:mclk.lv2 (PKGBUILD)
Description:A MIDI clock and realtime-message generator LV2 plugin
Upstream URL:https://github.com/x42/mclk.lv2
Groups:lv2-plugins
pro-audio
Download Size:11.6 kB (Download)
Installed Size:23.2 kB
License:GPL2
Build Date:2023-05-11 21:40 UTC
Dependencies:glibc
lv2 (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/mclk.lv2/ usr/lib/lv2/mclk.lv2/manifest.ttl usr/lib/lv2/mclk.lv2/mclk.so usr/lib/lv2/mclk.lv2/mclk.ttl
aarch64melmatcheq.lv20.1-1A profiling EQ using a 26 step Mel Frequency Band LV2 plugin
Close

melmatcheq.lv2 0.1-1


Architecture:aarch64
Base Package:melmatcheq.lv2 (PKGBUILD)
Description:A profiling EQ using a 26 step Mel Frequency Band LV2 plugin
Upstream URL:https://github.com/brummer10/MelMatchEQ.lv2
Groups:lv2-plugins
pro-audio
Download Size:135.0 kB (Download)
Installed Size:224.7 kB
License:GPL2
Build Date:2023-11-28 07:05 UTC
Dependencies:cairo
gcc-libs
glibc
libx11
lv2-host: for loading the LV2 format plugin (optional)
lv2 (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/gx_melmatcheq.lv2/ usr/lib/lv2/gx_melmatcheq.lv2/gx_melmatcheq_ui.so usr/lib/lv2/gx_melmatcheq.lv2/gx_melmatcheq.so usr/lib/lv2/gx_melmatcheq.lv2/gx_melmatcheq.ttl usr/lib/lv2/gx_melmatcheq.lv2/manifest.ttl usr/share/ usr/share/doc/ usr/share/doc/melmatcheq.lv2/ usr/share/doc/melmatcheq.lv2/MelMatchEQ.png usr/share/doc/melmatcheq.lv2/README.md
x86_64melmatcheq.lv20.1-1A profiling EQ using a 26 step Mel Frequency Band LV2 plugin
Close

melmatcheq.lv2 0.1-1


Architecture:x86_64
Base Package:melmatcheq.lv2 (PKGBUILD)
Description:A profiling EQ using a 26 step Mel Frequency Band LV2 plugin
Upstream URL:https://github.com/brummer10/MelMatchEQ.lv2
Groups:lv2-plugins
pro-audio
Download Size:155.2 kB (Download)
Installed Size:300.3 kB
License:GPL2
Build Date:2023-11-28 07:05 UTC
Dependencies:cairo
gcc-libs
glibc
libx11
lv2-host: for loading the LV2 format plugin (optional)
lv2 (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/gx_melmatcheq.lv2/ usr/lib/lv2/gx_melmatcheq.lv2/gx_melmatcheq_ui.so usr/lib/lv2/gx_melmatcheq.lv2/gx_melmatcheq.so usr/lib/lv2/gx_melmatcheq.lv2/gx_melmatcheq.ttl usr/lib/lv2/gx_melmatcheq.lv2/manifest.ttl usr/share/ usr/share/doc/ usr/share/doc/melmatcheq.lv2/ usr/share/doc/melmatcheq.lv2/MelMatchEQ.png usr/share/doc/melmatcheq.lv2/README.md
aarch64metaltone.lv20.1-1A high gain distortion with an advanced EQ section guitar pedal LV2 plugin
Close

metaltone.lv2 0.1-1


Architecture:aarch64
Base Package:metaltone.lv2 (PKGBUILD)
Description:A high gain distortion with an advanced EQ section guitar pedal LV2 plugin
Upstream URL:https://github.com/brummer10/MetalTone
Groups:lv2-plugins
pro-audio
Download Size:785.5 kB (Download)
Installed Size:1.0 MB
Licenses:0BSD
GPL3
Build Date:2023-11-27 08:56 UTC
Dependencies:cairo
gcc-libs
glibc
libx11
lv2-host: for loading the LV2 plugin (optional)
lv2 (make)
xxd (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/MetalTone.lv2/ usr/lib/lv2/MetalTone.lv2/manifest.ttl usr/lib/lv2/MetalTone.lv2/MetalTone_ui.so usr/lib/lv2/MetalTone.lv2/MetalTone.so usr/lib/lv2/MetalTone.lv2/MetalTone.ttl usr/share/ usr/share/doc/ usr/share/doc/metaltone.lv2/ usr/share/doc/metaltone.lv2/MetalTone.png usr/share/doc/metaltone.lv2/README.md usr/share/licenses/ usr/share/licenses/metaltone.lv2/ usr/share/licenses/metaltone.lv2/LICENSE.txt
x86_64metaltone.lv20.1-1A high gain distortion with an advanced EQ section guitar pedal LV2 plugin
Close

metaltone.lv2 0.1-1


Architecture:x86_64
Base Package:metaltone.lv2 (PKGBUILD)
Description:A high gain distortion with an advanced EQ section guitar pedal LV2 plugin
Upstream URL:https://github.com/brummer10/MetalTone
Groups:lv2-plugins
pro-audio
Download Size:828.3 kB (Download)
Installed Size:986.6 kB
Licenses:0BSD
GPL3
Build Date:2023-11-27 08:56 UTC
Dependencies:cairo
gcc-libs
glibc
libx11
lv2-host: for loading the LV2 plugin (optional)
lv2 (make)
xxd (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/MetalTone.lv2/ usr/lib/lv2/MetalTone.lv2/manifest.ttl usr/lib/lv2/MetalTone.lv2/MetalTone_ui.so usr/lib/lv2/MetalTone.lv2/MetalTone.so usr/lib/lv2/MetalTone.lv2/MetalTone.ttl usr/share/ usr/share/doc/ usr/share/doc/metaltone.lv2/ usr/share/doc/metaltone.lv2/MetalTone.png usr/share/doc/metaltone.lv2/README.md usr/share/licenses/ usr/share/licenses/metaltone.lv2/ usr/share/licenses/metaltone.lv2/LICENSE.txt
x86_64midi2-workbench1.5.6-1MIDI 2.0 debugging utility
Close

midi2-workbench 1.5.6-1


Architecture:x86_64
Base Package:midi2-workbench (PKGBUILD)
Description:MIDI 2.0 debugging utility
Upstream URL:https://github.com/midi2-dev/MIDI2.0Workbench
Groups:pro-audio
Download Size:10.3 MB (Download)
Installed Size:51.1 MB
License:MIT
Build Date:2024-04-02 15:25 UTC
Dependencies:electron27
gcc-libs
glibc
libasound.so=2-64
libudev.so=1-64
alsa-lib (make)
node-gyp (make)
npm (make)
systemd-libs (make)
Package Contents
usr/ usr/bin/ usr/bin/midi2-workbench usr/lib/ usr/lib/midi2-workbench/ usr/lib/midi2-workbench/libs/ usr/lib/midi2-workbench/libs/ciParts.js usr/lib/midi2-workbench/libs/debugger.js usr/lib/midi2-workbench/libs/globalConfigs.js usr/lib/midi2-workbench/libs/guiBuilder.js usr/lib/midi2-workbench/libs/interoperability.js usr/lib/midi2-workbench/libs/manufactuers.js usr/lib/midi2-workbench/libs/messageTypes.js usr/lib/midi2-workbench/libs/midici.js usr/lib/midi2-workbench/libs/midiCITables.js usr/lib/midi2-workbench/libs/midiTables.js usr/lib/midi2-workbench/libs/profiles.js usr/lib/midi2-workbench/libs/schema/ usr/lib/midi2-workbench/libs/schema/json-schema-draft-04.json usr/lib/midi2-workbench/libs/schema/M2-103-S_v1-0_ResourceList.json usr/lib/midi2-workbench/libs/schema/M2-105-S_v1-0_ChannelList.json usr/lib/midi2-workbench/libs/schema/M2-105-S_v1-0_DeviceInfo.json usr/lib/midi2-workbench/libs/schema/M2-105-S_v1-0_ModeList.json usr/lib/midi2-workbench/libs/schema/M2-105-S_v1-0_ProgramList.json usr/lib/midi2-workbench/libs/schema/M2-105-S_v1-1_ChannelList.json usr/lib/midi2-workbench/libs/schema/M2-112-S_v1-0_StateList.json usr/lib/midi2-workbench/libs/schema/M2-117-S_v1-0_AllCtrlList.json usr/lib/midi2-workbench/libs/schema/M2-117-S_v1-0_ChCtrlList.json usr/lib/midi2-workbench/libs/schema/M2-117-S_v1-0_CtrlMapList.json usr/lib/midi2-workbench/libs/streams.js usr/lib/midi2-workbench/libs/translations.js usr/lib/midi2-workbench/libs/umpDevices.js usr/lib/midi2-workbench/libs/UMPMIDI1.js usr/lib/midi2-workbench/libs/UMPusb.js usr/lib/midi2-workbench/libs/universalSysex.js usr/lib/midi2-workbench/libs/utils.js usr/lib/midi2-workbench/LICENSE usr/lib/midi2-workbench/main.js usr/lib/midi2-workbench/node_modules/ usr/lib/midi2-workbench/node_modules/@babel/ usr/lib/midi2-workbench/node_modules/@babel/runtime/ usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/ usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/applyDecoratedDescriptor.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/applyDecs.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/applyDecs2203.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/applyDecs2203R.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/applyDecs2301.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/applyDecs2305.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/applyDecs2311.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/arrayLikeToArray.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/arrayWithHoles.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/arrayWithoutHoles.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/assertClassBrand.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/assertThisInitialized.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/AsyncGenerator.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/asyncGeneratorDelegate.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/asyncIterator.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/asyncToGenerator.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/awaitAsyncGenerator.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/AwaitValue.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/callSuper.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/checkInRHS.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/checkPrivateRedeclaration.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classApplyDescriptorDestructureSet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classApplyDescriptorGet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classApplyDescriptorSet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classCallCheck.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classCheckPrivateStaticAccess.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classCheckPrivateStaticFieldDescriptor.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classExtractFieldDescriptor.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classNameTDZError.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classPrivateFieldDestructureSet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classPrivateFieldGet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classPrivateFieldGet2.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classPrivateFieldInitSpec.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classPrivateFieldLooseBase.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classPrivateFieldLooseKey.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classPrivateFieldSet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classPrivateFieldSet2.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classPrivateGetter.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classPrivateMethodGet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classPrivateMethodInitSpec.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classPrivateMethodSet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classPrivateSetter.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classStaticPrivateFieldDestructureSet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classStaticPrivateFieldSpecGet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classStaticPrivateFieldSpecSet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classStaticPrivateMethodGet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/classStaticPrivateMethodSet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/construct.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/createClass.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/createForOfIteratorHelper.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/createForOfIteratorHelperLoose.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/createSuper.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/decorate.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/defaults.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/defineAccessor.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/defineEnumerableProperties.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/defineProperty.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/dispose.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/ usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/applyDecoratedDescriptor.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/applyDecs.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/applyDecs2203.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/applyDecs2203R.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/applyDecs2301.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/applyDecs2305.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/applyDecs2311.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/arrayLikeToArray.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/arrayWithHoles.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/arrayWithoutHoles.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/assertClassBrand.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/assertThisInitialized.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/AsyncGenerator.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/asyncGeneratorDelegate.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/asyncIterator.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/asyncToGenerator.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/awaitAsyncGenerator.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/AwaitValue.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/callSuper.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/checkInRHS.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/checkPrivateRedeclaration.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classApplyDescriptorDestructureSet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classApplyDescriptorGet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classApplyDescriptorSet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classCallCheck.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classCheckPrivateStaticAccess.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classCheckPrivateStaticFieldDescriptor.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classExtractFieldDescriptor.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classNameTDZError.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classPrivateFieldDestructureSet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classPrivateFieldGet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classPrivateFieldGet2.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classPrivateFieldInitSpec.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classPrivateFieldLooseBase.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classPrivateFieldLooseKey.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classPrivateFieldSet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classPrivateFieldSet2.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classPrivateGetter.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classPrivateMethodGet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classPrivateMethodInitSpec.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classPrivateMethodSet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classPrivateSetter.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classStaticPrivateFieldDestructureSet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classStaticPrivateFieldSpecGet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classStaticPrivateFieldSpecSet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classStaticPrivateMethodGet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/classStaticPrivateMethodSet.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/construct.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/createClass.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/createForOfIteratorHelper.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/createForOfIteratorHelperLoose.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/createSuper.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/decorate.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/defaults.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/defineAccessor.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/defineEnumerableProperties.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/defineProperty.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/dispose.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/extends.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/get.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/getPrototypeOf.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/identity.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/importDeferProxy.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/inherits.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/inheritsLoose.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/initializerDefineProperty.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/initializerWarningHelper.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/instanceof.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/interopRequireDefault.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/interopRequireWildcard.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/isNativeFunction.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/isNativeReflectConstruct.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/iterableToArray.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/iterableToArrayLimit.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/iterableToArrayLimitLoose.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/jsx.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/maybeArrayLike.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/newArrowCheck.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/nonIterableRest.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/nonIterableSpread.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/nullishReceiverError.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/objectDestructuringEmpty.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/objectSpread.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/objectSpread2.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/objectWithoutProperties.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/objectWithoutPropertiesLoose.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/OverloadYield.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/package.json usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/possibleConstructorReturn.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/readOnlyError.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/regeneratorRuntime.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/set.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/setFunctionName.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/setPrototypeOf.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/skipFirstGeneratorNext.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/slicedToArray.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/slicedToArrayLoose.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/superPropBase.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/taggedTemplateLiteral.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/taggedTemplateLiteralLoose.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/tdz.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/temporalRef.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/temporalUndefined.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/toArray.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/toConsumableArray.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/toPrimitive.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/toPropertyKey.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/toSetter.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/typeof.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/unsupportedIterableToArray.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/using.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/usingCtx.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/wrapAsyncGenerator.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/wrapNativeSuper.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/wrapRegExp.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/esm/writeOnlyError.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/extends.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/get.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/getPrototypeOf.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/identity.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/importDeferProxy.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/inherits.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/inheritsLoose.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/initializerDefineProperty.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/initializerWarningHelper.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/instanceof.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/interopRequireDefault.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/interopRequireWildcard.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/isNativeFunction.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/isNativeReflectConstruct.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/iterableToArray.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/iterableToArrayLimit.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/iterableToArrayLimitLoose.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/jsx.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/maybeArrayLike.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/newArrowCheck.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/nonIterableRest.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/nonIterableSpread.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/nullishReceiverError.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/objectDestructuringEmpty.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/objectSpread.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/objectSpread2.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/objectWithoutProperties.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/objectWithoutPropertiesLoose.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/OverloadYield.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/possibleConstructorReturn.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/readOnlyError.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/regeneratorRuntime.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/set.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/setFunctionName.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/setPrototypeOf.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/skipFirstGeneratorNext.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/slicedToArray.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/slicedToArrayLoose.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/superPropBase.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/taggedTemplateLiteral.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/taggedTemplateLiteralLoose.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/tdz.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/temporalRef.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/temporalUndefined.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/toArray.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/toConsumableArray.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/toPrimitive.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/toPropertyKey.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/toSetter.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/typeof.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/unsupportedIterableToArray.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/using.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/usingCtx.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/wrapAsyncGenerator.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/wrapNativeSuper.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/wrapRegExp.js usr/lib/midi2-workbench/node_modules/@babel/runtime/helpers/writeOnlyError.js usr/lib/midi2-workbench/node_modules/@babel/runtime/LICENSE usr/lib/midi2-workbench/node_modules/@babel/runtime/node_modules/ usr/lib/midi2-workbench/node_modules/@babel/runtime/node_modules/regenerator-runtime/ usr/lib/midi2-workbench/node_modules/@babel/runtime/node_modules/regenerator-runtime/LICENSE usr/lib/midi2-workbench/node_modules/@babel/runtime/node_modules/regenerator-runtime/package.json usr/lib/midi2-workbench/node_modules/@babel/runtime/node_modules/regenerator-runtime/path.js usr/lib/midi2-workbench/node_modules/@babel/runtime/node_modules/regenerator-runtime/runtime.js usr/lib/midi2-workbench/node_modules/@babel/runtime/package.json usr/lib/midi2-workbench/node_modules/@babel/runtime/regenerator/ usr/lib/midi2-workbench/node_modules/@babel/runtime/regenerator/index.js usr/lib/midi2-workbench/node_modules/ajv-draft-04/ usr/lib/midi2-workbench/node_modules/ajv-draft-04/dist/ usr/lib/midi2-workbench/node_modules/ajv-draft-04/dist/index.js usr/lib/midi2-workbench/node_modules/ajv-draft-04/dist/index.js.map usr/lib/midi2-workbench/node_modules/ajv-draft-04/dist/refs/ usr/lib/midi2-workbench/node_modules/ajv-draft-04/dist/refs/json-schema-draft-04.json usr/lib/midi2-workbench/node_modules/ajv-draft-04/dist/vocabulary/ usr/lib/midi2-workbench/node_modules/ajv-draft-04/dist/vocabulary/core.js usr/lib/midi2-workbench/node_modules/ajv-draft-04/dist/vocabulary/core.js.map usr/lib/midi2-workbench/node_modules/ajv-draft-04/dist/vocabulary/draft4.js usr/lib/midi2-workbench/node_modules/ajv-draft-04/dist/vocabulary/draft4.js.map usr/lib/midi2-workbench/node_modules/ajv-draft-04/dist/vocabulary/validation/ usr/lib/midi2-workbench/node_modules/ajv-draft-04/dist/vocabulary/validation/index.js usr/lib/midi2-workbench/node_modules/ajv-draft-04/dist/vocabulary/validation/index.js.map usr/lib/midi2-workbench/node_modules/ajv-draft-04/dist/vocabulary/validation/limitNumber.js usr/lib/midi2-workbench/node_modules/ajv-draft-04/dist/vocabulary/validation/limitNumber.js.map usr/lib/midi2-workbench/node_modules/ajv-draft-04/dist/vocabulary/validation/limitNumberExclusive.js usr/lib/midi2-workbench/node_modules/ajv-draft-04/dist/vocabulary/validation/limitNumberExclusive.js.map usr/lib/midi2-workbench/node_modules/ajv-draft-04/LICENSE usr/lib/midi2-workbench/node_modules/ajv-draft-04/package.json usr/lib/midi2-workbench/node_modules/ajv-draft-04/src/ usr/lib/midi2-workbench/node_modules/ajv-draft-04/src/index.ts usr/lib/midi2-workbench/node_modules/ajv-draft-04/src/refs/ usr/lib/midi2-workbench/node_modules/ajv-draft-04/src/refs/json-schema-draft-04.json usr/lib/midi2-workbench/node_modules/ajv-draft-04/src/vocabulary/ usr/lib/midi2-workbench/node_modules/ajv-draft-04/src/vocabulary/core.ts usr/lib/midi2-workbench/node_modules/ajv-draft-04/src/vocabulary/draft4.ts usr/lib/midi2-workbench/node_modules/ajv-draft-04/src/vocabulary/validation/ usr/lib/midi2-workbench/node_modules/ajv-draft-04/src/vocabulary/validation/index.ts usr/lib/midi2-workbench/node_modules/ajv-draft-04/src/vocabulary/validation/limitNumber.ts usr/lib/midi2-workbench/node_modules/ajv-draft-04/src/vocabulary/validation/limitNumberExclusive.ts usr/lib/midi2-workbench/node_modules/ajv/ usr/lib/midi2-workbench/node_modules/ajv/.runkit_example.js usr/lib/midi2-workbench/node_modules/ajv/dist/ usr/lib/midi2-workbench/node_modules/ajv/dist/2019.js usr/lib/midi2-workbench/node_modules/ajv/dist/2019.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/2020.js usr/lib/midi2-workbench/node_modules/ajv/dist/2020.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/ajv.js usr/lib/midi2-workbench/node_modules/ajv/dist/ajv.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/compile/ usr/lib/midi2-workbench/node_modules/ajv/dist/compile/codegen/ usr/lib/midi2-workbench/node_modules/ajv/dist/compile/codegen/code.js usr/lib/midi2-workbench/node_modules/ajv/dist/compile/codegen/code.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/compile/codegen/index.js usr/lib/midi2-workbench/node_modules/ajv/dist/compile/codegen/index.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/compile/codegen/scope.js usr/lib/midi2-workbench/node_modules/ajv/dist/compile/codegen/scope.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/compile/errors.js usr/lib/midi2-workbench/node_modules/ajv/dist/compile/errors.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/compile/index.js usr/lib/midi2-workbench/node_modules/ajv/dist/compile/index.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/compile/jtd/ usr/lib/midi2-workbench/node_modules/ajv/dist/compile/jtd/parse.js usr/lib/midi2-workbench/node_modules/ajv/dist/compile/jtd/parse.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/compile/jtd/serialize.js usr/lib/midi2-workbench/node_modules/ajv/dist/compile/jtd/serialize.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/compile/jtd/types.js usr/lib/midi2-workbench/node_modules/ajv/dist/compile/jtd/types.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/compile/names.js usr/lib/midi2-workbench/node_modules/ajv/dist/compile/names.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/compile/ref_error.js usr/lib/midi2-workbench/node_modules/ajv/dist/compile/ref_error.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/compile/resolve.js usr/lib/midi2-workbench/node_modules/ajv/dist/compile/resolve.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/compile/rules.js usr/lib/midi2-workbench/node_modules/ajv/dist/compile/rules.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/compile/util.js usr/lib/midi2-workbench/node_modules/ajv/dist/compile/util.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/compile/validate/ usr/lib/midi2-workbench/node_modules/ajv/dist/compile/validate/applicability.js usr/lib/midi2-workbench/node_modules/ajv/dist/compile/validate/applicability.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/compile/validate/boolSchema.js usr/lib/midi2-workbench/node_modules/ajv/dist/compile/validate/boolSchema.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/compile/validate/dataType.js usr/lib/midi2-workbench/node_modules/ajv/dist/compile/validate/dataType.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/compile/validate/defaults.js usr/lib/midi2-workbench/node_modules/ajv/dist/compile/validate/defaults.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/compile/validate/index.js usr/lib/midi2-workbench/node_modules/ajv/dist/compile/validate/index.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/compile/validate/keyword.js usr/lib/midi2-workbench/node_modules/ajv/dist/compile/validate/keyword.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/compile/validate/subschema.js usr/lib/midi2-workbench/node_modules/ajv/dist/compile/validate/subschema.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/core.js usr/lib/midi2-workbench/node_modules/ajv/dist/core.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/jtd.js usr/lib/midi2-workbench/node_modules/ajv/dist/jtd.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/refs/ usr/lib/midi2-workbench/node_modules/ajv/dist/refs/data.json usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2019-09/ usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2019-09/index.js usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2019-09/index.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2019-09/meta/ usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2019-09/meta/applicator.json usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2019-09/meta/content.json usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2019-09/meta/core.json usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2019-09/meta/format.json usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2019-09/meta/meta-data.json usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2019-09/meta/validation.json usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2019-09/schema.json usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2020-12/ usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2020-12/index.js usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2020-12/index.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2020-12/meta/ usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2020-12/meta/applicator.json usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2020-12/meta/content.json usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2020-12/meta/core.json usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2020-12/meta/format-annotation.json usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2020-12/meta/meta-data.json usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2020-12/meta/unevaluated.json usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2020-12/meta/validation.json usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-2020-12/schema.json usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-draft-06.json usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-draft-07.json usr/lib/midi2-workbench/node_modules/ajv/dist/refs/json-schema-secure.json usr/lib/midi2-workbench/node_modules/ajv/dist/refs/jtd-schema.js usr/lib/midi2-workbench/node_modules/ajv/dist/refs/jtd-schema.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/runtime/ usr/lib/midi2-workbench/node_modules/ajv/dist/runtime/equal.js usr/lib/midi2-workbench/node_modules/ajv/dist/runtime/equal.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/runtime/parseJson.js usr/lib/midi2-workbench/node_modules/ajv/dist/runtime/parseJson.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/runtime/quote.js usr/lib/midi2-workbench/node_modules/ajv/dist/runtime/quote.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/runtime/re2.js usr/lib/midi2-workbench/node_modules/ajv/dist/runtime/re2.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/runtime/timestamp.js usr/lib/midi2-workbench/node_modules/ajv/dist/runtime/timestamp.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/runtime/ucs2length.js usr/lib/midi2-workbench/node_modules/ajv/dist/runtime/ucs2length.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/runtime/uri.js usr/lib/midi2-workbench/node_modules/ajv/dist/runtime/uri.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/runtime/validation_error.js usr/lib/midi2-workbench/node_modules/ajv/dist/runtime/validation_error.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/standalone/ usr/lib/midi2-workbench/node_modules/ajv/dist/standalone/index.js usr/lib/midi2-workbench/node_modules/ajv/dist/standalone/index.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/standalone/instance.js usr/lib/midi2-workbench/node_modules/ajv/dist/standalone/instance.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/types/ usr/lib/midi2-workbench/node_modules/ajv/dist/types/index.js usr/lib/midi2-workbench/node_modules/ajv/dist/types/index.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/types/json-schema.js usr/lib/midi2-workbench/node_modules/ajv/dist/types/json-schema.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/types/jtd-schema.js usr/lib/midi2-workbench/node_modules/ajv/dist/types/jtd-schema.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/ usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/ usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/additionalItems.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/additionalItems.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/additionalProperties.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/additionalProperties.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/allOf.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/allOf.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/anyOf.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/anyOf.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/contains.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/contains.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/dependencies.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/dependencies.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/dependentSchemas.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/dependentSchemas.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/if.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/if.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/index.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/index.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/items.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/items.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/items2020.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/items2020.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/not.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/not.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/oneOf.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/oneOf.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/patternProperties.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/patternProperties.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/prefixItems.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/prefixItems.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/properties.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/properties.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/propertyNames.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/propertyNames.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/thenElse.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/applicator/thenElse.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/code.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/code.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/core/ usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/core/id.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/core/id.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/core/index.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/core/index.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/core/ref.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/core/ref.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/discriminator/ usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/discriminator/index.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/discriminator/index.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/discriminator/types.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/discriminator/types.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/draft2020.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/draft2020.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/draft7.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/draft7.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/dynamic/ usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/dynamic/dynamicAnchor.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/dynamic/dynamicAnchor.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/dynamic/dynamicRef.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/dynamic/dynamicRef.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/dynamic/index.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/dynamic/index.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/dynamic/recursiveAnchor.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/dynamic/recursiveAnchor.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/dynamic/recursiveRef.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/dynamic/recursiveRef.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/errors.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/errors.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/format/ usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/format/format.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/format/format.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/format/index.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/format/index.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/ usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/discriminator.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/discriminator.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/elements.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/elements.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/enum.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/enum.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/error.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/error.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/index.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/index.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/metadata.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/metadata.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/nullable.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/nullable.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/optionalProperties.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/optionalProperties.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/properties.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/properties.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/ref.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/ref.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/type.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/type.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/union.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/union.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/values.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/jtd/values.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/metadata.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/metadata.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/next.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/next.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/unevaluated/ usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/unevaluated/index.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/unevaluated/index.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/unevaluated/unevaluatedItems.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/unevaluated/unevaluatedItems.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/unevaluated/unevaluatedProperties.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/unevaluated/unevaluatedProperties.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/ usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/const.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/const.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/dependentRequired.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/dependentRequired.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/enum.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/enum.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/index.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/index.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/limitContains.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/limitContains.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/limitItems.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/limitItems.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/limitLength.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/limitLength.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/limitNumber.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/limitNumber.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/limitProperties.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/limitProperties.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/multipleOf.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/multipleOf.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/pattern.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/pattern.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/required.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/required.js.map usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/uniqueItems.js usr/lib/midi2-workbench/node_modules/ajv/dist/vocabularies/validation/uniqueItems.js.map usr/lib/midi2-workbench/node_modules/ajv/lib/ usr/lib/midi2-workbench/node_modules/ajv/lib/2019.ts usr/lib/midi2-workbench/node_modules/ajv/lib/2020.ts usr/lib/midi2-workbench/node_modules/ajv/lib/ajv.ts usr/lib/midi2-workbench/node_modules/ajv/lib/compile/ usr/lib/midi2-workbench/node_modules/ajv/lib/compile/codegen/ usr/lib/midi2-workbench/node_modules/ajv/lib/compile/codegen/code.ts usr/lib/midi2-workbench/node_modules/ajv/lib/compile/codegen/index.ts usr/lib/midi2-workbench/node_modules/ajv/lib/compile/codegen/scope.ts usr/lib/midi2-workbench/node_modules/ajv/lib/compile/errors.ts usr/lib/midi2-workbench/node_modules/ajv/lib/compile/index.ts usr/lib/midi2-workbench/node_modules/ajv/lib/compile/jtd/ usr/lib/midi2-workbench/node_modules/ajv/lib/compile/jtd/parse.ts usr/lib/midi2-workbench/node_modules/ajv/lib/compile/jtd/serialize.ts usr/lib/midi2-workbench/node_modules/ajv/lib/compile/jtd/types.ts usr/lib/midi2-workbench/node_modules/ajv/lib/compile/names.ts usr/lib/midi2-workbench/node_modules/ajv/lib/compile/ref_error.ts usr/lib/midi2-workbench/node_modules/ajv/lib/compile/resolve.ts usr/lib/midi2-workbench/node_modules/ajv/lib/compile/rules.ts usr/lib/midi2-workbench/node_modules/ajv/lib/compile/util.ts usr/lib/midi2-workbench/node_modules/ajv/lib/compile/validate/ usr/lib/midi2-workbench/node_modules/ajv/lib/compile/validate/applicability.ts usr/lib/midi2-workbench/node_modules/ajv/lib/compile/validate/boolSchema.ts usr/lib/midi2-workbench/node_modules/ajv/lib/compile/validate/dataType.ts usr/lib/midi2-workbench/node_modules/ajv/lib/compile/validate/defaults.ts usr/lib/midi2-workbench/node_modules/ajv/lib/compile/validate/index.ts usr/lib/midi2-workbench/node_modules/ajv/lib/compile/validate/keyword.ts usr/lib/midi2-workbench/node_modules/ajv/lib/compile/validate/subschema.ts usr/lib/midi2-workbench/node_modules/ajv/lib/core.ts usr/lib/midi2-workbench/node_modules/ajv/lib/jtd.ts usr/lib/midi2-workbench/node_modules/ajv/lib/refs/ usr/lib/midi2-workbench/node_modules/ajv/lib/refs/data.json usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-2019-09/ usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-2019-09/index.ts usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-2019-09/meta/ usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-2019-09/meta/applicator.json usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-2019-09/meta/content.json usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-2019-09/meta/core.json usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-2019-09/meta/format.json usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-2019-09/meta/meta-data.json usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-2019-09/meta/validation.json usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-2019-09/schema.json usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-2020-12/ usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-2020-12/index.ts usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-2020-12/meta/ usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-2020-12/meta/applicator.json usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-2020-12/meta/content.json usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-2020-12/meta/core.json usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-2020-12/meta/format-annotation.json usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-2020-12/meta/meta-data.json usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-2020-12/meta/unevaluated.json usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-2020-12/meta/validation.json usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-2020-12/schema.json usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-draft-06.json usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-draft-07.json usr/lib/midi2-workbench/node_modules/ajv/lib/refs/json-schema-secure.json usr/lib/midi2-workbench/node_modules/ajv/lib/refs/jtd-schema.ts usr/lib/midi2-workbench/node_modules/ajv/lib/runtime/ usr/lib/midi2-workbench/node_modules/ajv/lib/runtime/equal.ts usr/lib/midi2-workbench/node_modules/ajv/lib/runtime/parseJson.ts usr/lib/midi2-workbench/node_modules/ajv/lib/runtime/quote.ts usr/lib/midi2-workbench/node_modules/ajv/lib/runtime/re2.ts usr/lib/midi2-workbench/node_modules/ajv/lib/runtime/timestamp.ts usr/lib/midi2-workbench/node_modules/ajv/lib/runtime/ucs2length.ts usr/lib/midi2-workbench/node_modules/ajv/lib/runtime/uri.ts usr/lib/midi2-workbench/node_modules/ajv/lib/runtime/validation_error.ts usr/lib/midi2-workbench/node_modules/ajv/lib/standalone/ usr/lib/midi2-workbench/node_modules/ajv/lib/standalone/index.ts usr/lib/midi2-workbench/node_modules/ajv/lib/standalone/instance.ts usr/lib/midi2-workbench/node_modules/ajv/lib/types/ usr/lib/midi2-workbench/node_modules/ajv/lib/types/index.ts usr/lib/midi2-workbench/node_modules/ajv/lib/types/json-schema.ts usr/lib/midi2-workbench/node_modules/ajv/lib/types/jtd-schema.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/ usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/applicator/ usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/applicator/additionalItems.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/applicator/additionalProperties.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/applicator/allOf.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/applicator/anyOf.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/applicator/contains.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/applicator/dependencies.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/applicator/dependentSchemas.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/applicator/if.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/applicator/index.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/applicator/items.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/applicator/items2020.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/applicator/not.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/applicator/oneOf.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/applicator/patternProperties.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/applicator/prefixItems.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/applicator/properties.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/applicator/propertyNames.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/applicator/thenElse.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/code.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/core/ usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/core/id.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/core/index.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/core/ref.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/discriminator/ usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/discriminator/index.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/discriminator/types.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/draft2020.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/draft7.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/dynamic/ usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/dynamic/dynamicAnchor.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/dynamic/dynamicRef.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/dynamic/index.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/dynamic/recursiveAnchor.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/dynamic/recursiveRef.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/errors.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/format/ usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/format/format.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/format/index.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/jtd/ usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/jtd/discriminator.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/jtd/elements.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/jtd/enum.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/jtd/error.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/jtd/index.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/jtd/metadata.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/jtd/nullable.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/jtd/optionalProperties.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/jtd/properties.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/jtd/ref.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/jtd/type.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/jtd/union.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/jtd/values.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/metadata.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/next.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/unevaluated/ usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/unevaluated/index.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/unevaluated/unevaluatedItems.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/unevaluated/unevaluatedProperties.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/validation/ usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/validation/const.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/validation/dependentRequired.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/validation/enum.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/validation/index.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/validation/limitContains.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/validation/limitItems.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/validation/limitLength.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/validation/limitNumber.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/validation/limitProperties.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/validation/multipleOf.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/validation/pattern.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/validation/required.ts usr/lib/midi2-workbench/node_modules/ajv/lib/vocabularies/validation/uniqueItems.ts usr/lib/midi2-workbench/node_modules/ajv/LICENSE usr/lib/midi2-workbench/node_modules/ajv/package.json usr/lib/midi2-workbench/node_modules/async/ usr/lib/midi2-workbench/node_modules/async/all.js usr/lib/midi2-workbench/node_modules/async/allLimit.js usr/lib/midi2-workbench/node_modules/async/allSeries.js usr/lib/midi2-workbench/node_modules/async/any.js usr/lib/midi2-workbench/node_modules/async/anyLimit.js usr/lib/midi2-workbench/node_modules/async/anySeries.js usr/lib/midi2-workbench/node_modules/async/apply.js usr/lib/midi2-workbench/node_modules/async/applyEach.js usr/lib/midi2-workbench/node_modules/async/applyEachSeries.js usr/lib/midi2-workbench/node_modules/async/asyncify.js usr/lib/midi2-workbench/node_modules/async/auto.js usr/lib/midi2-workbench/node_modules/async/autoInject.js usr/lib/midi2-workbench/node_modules/async/bower.json usr/lib/midi2-workbench/node_modules/async/cargo.js usr/lib/midi2-workbench/node_modules/async/cargoQueue.js usr/lib/midi2-workbench/node_modules/async/compose.js usr/lib/midi2-workbench/node_modules/async/concat.js usr/lib/midi2-workbench/node_modules/async/concatLimit.js usr/lib/midi2-workbench/node_modules/async/concatSeries.js usr/lib/midi2-workbench/node_modules/async/constant.js usr/lib/midi2-workbench/node_modules/async/detect.js usr/lib/midi2-workbench/node_modules/async/detectLimit.js usr/lib/midi2-workbench/node_modules/async/detectSeries.js usr/lib/midi2-workbench/node_modules/async/dir.js usr/lib/midi2-workbench/node_modules/async/dist/ usr/lib/midi2-workbench/node_modules/async/dist/async.js usr/lib/midi2-workbench/node_modules/async/dist/async.min.js usr/lib/midi2-workbench/node_modules/async/dist/async.mjs usr/lib/midi2-workbench/node_modules/async/doDuring.js usr/lib/midi2-workbench/node_modules/async/doUntil.js usr/lib/midi2-workbench/node_modules/async/doWhilst.js usr/lib/midi2-workbench/node_modules/async/during.js usr/lib/midi2-workbench/node_modules/async/each.js usr/lib/midi2-workbench/node_modules/async/eachLimit.js usr/lib/midi2-workbench/node_modules/async/eachOf.js usr/lib/midi2-workbench/node_modules/async/eachOfLimit.js usr/lib/midi2-workbench/node_modules/async/eachOfSeries.js usr/lib/midi2-workbench/node_modules/async/eachSeries.js usr/lib/midi2-workbench/node_modules/async/ensureAsync.js usr/lib/midi2-workbench/node_modules/async/every.js usr/lib/midi2-workbench/node_modules/async/everyLimit.js usr/lib/midi2-workbench/node_modules/async/everySeries.js usr/lib/midi2-workbench/node_modules/async/filter.js usr/lib/midi2-workbench/node_modules/async/filterLimit.js usr/lib/midi2-workbench/node_modules/async/filterSeries.js usr/lib/midi2-workbench/node_modules/async/find.js usr/lib/midi2-workbench/node_modules/async/findLimit.js usr/lib/midi2-workbench/node_modules/async/findSeries.js usr/lib/midi2-workbench/node_modules/async/flatMap.js usr/lib/midi2-workbench/node_modules/async/flatMapLimit.js usr/lib/midi2-workbench/node_modules/async/flatMapSeries.js usr/lib/midi2-workbench/node_modules/async/foldl.js usr/lib/midi2-workbench/node_modules/async/foldr.js usr/lib/midi2-workbench/node_modules/async/forEach.js usr/lib/midi2-workbench/node_modules/async/forEachLimit.js usr/lib/midi2-workbench/node_modules/async/forEachOf.js usr/lib/midi2-workbench/node_modules/async/forEachOfLimit.js usr/lib/midi2-workbench/node_modules/async/forEachOfSeries.js usr/lib/midi2-workbench/node_modules/async/forEachSeries.js usr/lib/midi2-workbench/node_modules/async/forever.js usr/lib/midi2-workbench/node_modules/async/groupBy.js usr/lib/midi2-workbench/node_modules/async/groupByLimit.js usr/lib/midi2-workbench/node_modules/async/groupBySeries.js usr/lib/midi2-workbench/node_modules/async/index.js usr/lib/midi2-workbench/node_modules/async/inject.js usr/lib/midi2-workbench/node_modules/async/internal/ usr/lib/midi2-workbench/node_modules/async/internal/applyEach.js usr/lib/midi2-workbench/node_modules/async/internal/asyncEachOfLimit.js usr/lib/midi2-workbench/node_modules/async/internal/awaitify.js usr/lib/midi2-workbench/node_modules/async/internal/breakLoop.js usr/lib/midi2-workbench/node_modules/async/internal/consoleFunc.js usr/lib/midi2-workbench/node_modules/async/internal/createTester.js usr/lib/midi2-workbench/node_modules/async/internal/DoublyLinkedList.js usr/lib/midi2-workbench/node_modules/async/internal/eachOfLimit.js usr/lib/midi2-workbench/node_modules/async/internal/filter.js usr/lib/midi2-workbench/node_modules/async/internal/getIterator.js usr/lib/midi2-workbench/node_modules/async/internal/Heap.js usr/lib/midi2-workbench/node_modules/async/internal/initialParams.js usr/lib/midi2-workbench/node_modules/async/internal/isArrayLike.js usr/lib/midi2-workbench/node_modules/async/internal/iterator.js usr/lib/midi2-workbench/node_modules/async/internal/map.js usr/lib/midi2-workbench/node_modules/async/internal/once.js usr/lib/midi2-workbench/node_modules/async/internal/onlyOnce.js usr/lib/midi2-workbench/node_modules/async/internal/parallel.js usr/lib/midi2-workbench/node_modules/async/internal/promiseCallback.js usr/lib/midi2-workbench/node_modules/async/internal/queue.js usr/lib/midi2-workbench/node_modules/async/internal/range.js usr/lib/midi2-workbench/node_modules/async/internal/reject.js usr/lib/midi2-workbench/node_modules/async/internal/setImmediate.js usr/lib/midi2-workbench/node_modules/async/internal/withoutIndex.js usr/lib/midi2-workbench/node_modules/async/internal/wrapAsync.js usr/lib/midi2-workbench/node_modules/async/LICENSE usr/lib/midi2-workbench/node_modules/async/log.js usr/lib/midi2-workbench/node_modules/async/map.js usr/lib/midi2-workbench/node_modules/async/mapLimit.js usr/lib/midi2-workbench/node_modules/async/mapSeries.js usr/lib/midi2-workbench/node_modules/async/mapValues.js usr/lib/midi2-workbench/node_modules/async/mapValuesLimit.js usr/lib/midi2-workbench/node_modules/async/mapValuesSeries.js usr/lib/midi2-workbench/node_modules/async/memoize.js usr/lib/midi2-workbench/node_modules/async/nextTick.js usr/lib/midi2-workbench/node_modules/async/package.json usr/lib/midi2-workbench/node_modules/async/parallel.js usr/lib/midi2-workbench/node_modules/async/parallelLimit.js usr/lib/midi2-workbench/node_modules/async/priorityQueue.js usr/lib/midi2-workbench/node_modules/async/queue.js usr/lib/midi2-workbench/node_modules/async/race.js usr/lib/midi2-workbench/node_modules/async/reduce.js usr/lib/midi2-workbench/node_modules/async/reduceRight.js usr/lib/midi2-workbench/node_modules/async/reflect.js usr/lib/midi2-workbench/node_modules/async/reflectAll.js usr/lib/midi2-workbench/node_modules/async/reject.js usr/lib/midi2-workbench/node_modules/async/rejectLimit.js usr/lib/midi2-workbench/node_modules/async/rejectSeries.js usr/lib/midi2-workbench/node_modules/async/retry.js usr/lib/midi2-workbench/node_modules/async/retryable.js usr/lib/midi2-workbench/node_modules/async/select.js usr/lib/midi2-workbench/node_modules/async/selectLimit.js usr/lib/midi2-workbench/node_modules/async/selectSeries.js usr/lib/midi2-workbench/node_modules/async/seq.js usr/lib/midi2-workbench/node_modules/async/series.js usr/lib/midi2-workbench/node_modules/async/setImmediate.js usr/lib/midi2-workbench/node_modules/async/some.js usr/lib/midi2-workbench/node_modules/async/someLimit.js usr/lib/midi2-workbench/node_modules/async/someSeries.js usr/lib/midi2-workbench/node_modules/async/sortBy.js usr/lib/midi2-workbench/node_modules/async/timeout.js usr/lib/midi2-workbench/node_modules/async/times.js usr/lib/midi2-workbench/node_modules/async/timesLimit.js usr/lib/midi2-workbench/node_modules/async/timesSeries.js usr/lib/midi2-workbench/node_modules/async/transform.js usr/lib/midi2-workbench/node_modules/async/tryEach.js usr/lib/midi2-workbench/node_modules/async/unmemoize.js usr/lib/midi2-workbench/node_modules/async/until.js usr/lib/midi2-workbench/node_modules/async/waterfall.js usr/lib/midi2-workbench/node_modules/async/whilst.js usr/lib/midi2-workbench/node_modules/async/wrapSync.js usr/lib/midi2-workbench/node_modules/automation-events/ usr/lib/midi2-workbench/node_modules/automation-events/build/ usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/ usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/classes/ usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/classes/automation-event-list.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/classes/automation-event-list.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/classes/automation-event-list.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/ usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-cancel-and-hold-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-cancel-and-hold-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-cancel-and-hold-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-cancel-scheduled-values-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-cancel-scheduled-values-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-cancel-scheduled-values-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-exponential-ramp-to-value-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-exponential-ramp-to-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-exponential-ramp-to-value-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-extended-exponential-ramp-to-value-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-extended-exponential-ramp-to-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-extended-exponential-ramp-to-value-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-extended-linear-ramp-to-value-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-extended-linear-ramp-to-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-extended-linear-ramp-to-value-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-linear-ramp-to-value-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-linear-ramp-to-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-linear-ramp-to-value-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-set-target-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-set-target-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-set-target-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-set-value-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-set-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-set-value-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-set-value-curve-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-set-value-curve-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/create-set-value-curve-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/get-end-time-and-value-of-previous-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/get-end-time-and-value-of-previous-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/get-end-time-and-value-of-previous-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/get-event-time.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/get-event-time.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/get-event-time.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/get-exponential-ramp-value-at-time.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/get-exponential-ramp-value-at-time.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/get-exponential-ramp-value-at-time.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/get-linear-ramp-value-at-time.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/get-linear-ramp-value-at-time.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/get-linear-ramp-value-at-time.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/get-target-value-at-time.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/get-target-value-at-time.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/get-target-value-at-time.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/get-value-curve-value-at-time.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/get-value-curve-value-at-time.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/get-value-curve-value-at-time.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/get-value-of-automation-event-at-index-at-time.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/get-value-of-automation-event-at-index-at-time.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/get-value-of-automation-event-at-index-at-time.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/interpolate-value.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/interpolate-value.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/interpolate-value.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/truncate-value-curve.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/truncate-value-curve.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/functions/truncate-value-curve.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/ usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/any-ramp-to-value-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/any-ramp-to-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/any-ramp-to-value-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/cancel-and-hold-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/cancel-and-hold-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/cancel-and-hold-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/cancel-scheduled-values-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/cancel-scheduled-values-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/cancel-scheduled-values-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/exponential-ramp-to-value-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/exponential-ramp-to-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/exponential-ramp-to-value-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/linear-ramp-to-value-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/linear-ramp-to-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/linear-ramp-to-value-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/set-target-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/set-target-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/set-target-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/set-value-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/set-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/set-value-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/set-value-curve-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/set-value-curve-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/guards/set-value-curve-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/ usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/cancel-and-hold-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/cancel-and-hold-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/cancel-and-hold-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/cancel-scheduled-values-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/cancel-scheduled-values-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/cancel-scheduled-values-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/exponential-ramp-to-value-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/exponential-ramp-to-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/exponential-ramp-to-value-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/extended-exponential-ramp-to-value-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/extended-exponential-ramp-to-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/extended-exponential-ramp-to-value-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/extended-linear-ramp-to-value-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/extended-linear-ramp-to-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/extended-linear-ramp-to-value-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/index.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/index.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/index.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/linear-ramp-to-value-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/linear-ramp-to-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/linear-ramp-to-value-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/set-target-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/set-target-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/set-target-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/set-value-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/set-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/set-value-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/set-value-curve-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/set-value-curve-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/interfaces/set-value-curve-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/module.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/module.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/module.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/types/ usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/types/automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/types/automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/types/automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/types/index.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/types/index.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/types/index.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/types/persistent-automation-event.d.ts.map usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/types/persistent-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/es2019/types/persistent-automation-event.js.map usr/lib/midi2-workbench/node_modules/automation-events/build/es5/ usr/lib/midi2-workbench/node_modules/automation-events/build/es5/bundle.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/ usr/lib/midi2-workbench/node_modules/automation-events/build/node/classes/ usr/lib/midi2-workbench/node_modules/automation-events/build/node/classes/automation-event-list.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/functions/ usr/lib/midi2-workbench/node_modules/automation-events/build/node/functions/create-cancel-and-hold-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/functions/create-cancel-scheduled-values-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/functions/create-exponential-ramp-to-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/functions/create-extended-exponential-ramp-to-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/functions/create-extended-linear-ramp-to-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/functions/create-linear-ramp-to-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/functions/create-set-target-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/functions/create-set-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/functions/create-set-value-curve-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/functions/get-end-time-and-value-of-previous-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/functions/get-event-time.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/functions/get-exponential-ramp-value-at-time.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/functions/get-linear-ramp-value-at-time.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/functions/get-target-value-at-time.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/functions/get-value-curve-value-at-time.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/functions/get-value-of-automation-event-at-index-at-time.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/functions/interpolate-value.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/functions/truncate-value-curve.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/guards/ usr/lib/midi2-workbench/node_modules/automation-events/build/node/guards/any-ramp-to-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/guards/cancel-and-hold-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/guards/cancel-scheduled-values-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/guards/exponential-ramp-to-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/guards/linear-ramp-to-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/guards/set-target-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/guards/set-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/guards/set-value-curve-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/interfaces/ usr/lib/midi2-workbench/node_modules/automation-events/build/node/interfaces/cancel-and-hold-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/interfaces/cancel-scheduled-values-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/interfaces/exponential-ramp-to-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/interfaces/extended-exponential-ramp-to-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/interfaces/extended-linear-ramp-to-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/interfaces/index.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/interfaces/linear-ramp-to-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/interfaces/set-target-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/interfaces/set-value-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/interfaces/set-value-curve-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/module.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/types/ usr/lib/midi2-workbench/node_modules/automation-events/build/node/types/automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/types/index.js usr/lib/midi2-workbench/node_modules/automation-events/build/node/types/persistent-automation-event.js usr/lib/midi2-workbench/node_modules/automation-events/LICENSE usr/lib/midi2-workbench/node_modules/automation-events/package.json usr/lib/midi2-workbench/node_modules/automation-events/src/ usr/lib/midi2-workbench/node_modules/automation-events/src/classes/ usr/lib/midi2-workbench/node_modules/automation-events/src/classes/automation-event-list.ts usr/lib/midi2-workbench/node_modules/automation-events/src/functions/ usr/lib/midi2-workbench/node_modules/automation-events/src/functions/create-cancel-and-hold-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/functions/create-cancel-scheduled-values-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/functions/create-exponential-ramp-to-value-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/functions/create-extended-exponential-ramp-to-value-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/functions/create-extended-linear-ramp-to-value-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/functions/create-linear-ramp-to-value-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/functions/create-set-target-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/functions/create-set-value-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/functions/create-set-value-curve-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/functions/get-end-time-and-value-of-previous-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/functions/get-event-time.ts usr/lib/midi2-workbench/node_modules/automation-events/src/functions/get-exponential-ramp-value-at-time.ts usr/lib/midi2-workbench/node_modules/automation-events/src/functions/get-linear-ramp-value-at-time.ts usr/lib/midi2-workbench/node_modules/automation-events/src/functions/get-target-value-at-time.ts usr/lib/midi2-workbench/node_modules/automation-events/src/functions/get-value-curve-value-at-time.ts usr/lib/midi2-workbench/node_modules/automation-events/src/functions/get-value-of-automation-event-at-index-at-time.ts usr/lib/midi2-workbench/node_modules/automation-events/src/functions/interpolate-value.ts usr/lib/midi2-workbench/node_modules/automation-events/src/functions/truncate-value-curve.ts usr/lib/midi2-workbench/node_modules/automation-events/src/guards/ usr/lib/midi2-workbench/node_modules/automation-events/src/guards/any-ramp-to-value-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/guards/cancel-and-hold-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/guards/cancel-scheduled-values-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/guards/exponential-ramp-to-value-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/guards/linear-ramp-to-value-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/guards/set-target-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/guards/set-value-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/guards/set-value-curve-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/interfaces/ usr/lib/midi2-workbench/node_modules/automation-events/src/interfaces/cancel-and-hold-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/interfaces/cancel-scheduled-values-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/interfaces/exponential-ramp-to-value-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/interfaces/extended-exponential-ramp-to-value-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/interfaces/extended-linear-ramp-to-value-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/interfaces/index.ts usr/lib/midi2-workbench/node_modules/automation-events/src/interfaces/linear-ramp-to-value-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/interfaces/set-target-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/interfaces/set-value-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/interfaces/set-value-curve-automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/module.ts usr/lib/midi2-workbench/node_modules/automation-events/src/tsconfig.json usr/lib/midi2-workbench/node_modules/automation-events/src/types/ usr/lib/midi2-workbench/node_modules/automation-events/src/types/automation-event.ts usr/lib/midi2-workbench/node_modules/automation-events/src/types/index.ts usr/lib/midi2-workbench/node_modules/automation-events/src/types/persistent-automation-event.ts usr/lib/midi2-workbench/node_modules/balanced-match/ usr/lib/midi2-workbench/node_modules/balanced-match/.github/ usr/lib/midi2-workbench/node_modules/balanced-match/.github/FUNDING.yml usr/lib/midi2-workbench/node_modules/balanced-match/index.js usr/lib/midi2-workbench/node_modules/balanced-match/LICENSE.md usr/lib/midi2-workbench/node_modules/balanced-match/package.json usr/lib/midi2-workbench/node_modules/bindings/ usr/lib/midi2-workbench/node_modules/bindings/bindings.js usr/lib/midi2-workbench/node_modules/bindings/LICENSE.md usr/lib/midi2-workbench/node_modules/bindings/package.json usr/lib/midi2-workbench/node_modules/brace-expansion/ usr/lib/midi2-workbench/node_modules/brace-expansion/index.js usr/lib/midi2-workbench/node_modules/brace-expansion/LICENSE usr/lib/midi2-workbench/node_modules/brace-expansion/package.json usr/lib/midi2-workbench/node_modules/commonmark/ usr/lib/midi2-workbench/node_modules/commonmark/bin/ usr/lib/midi2-workbench/node_modules/commonmark/bin/commonmark usr/lib/midi2-workbench/node_modules/commonmark/dist/ usr/lib/midi2-workbench/node_modules/commonmark/dist/commonmark.js usr/lib/midi2-workbench/node_modules/commonmark/dist/commonmark.min.js usr/lib/midi2-workbench/node_modules/commonmark/lib/ usr/lib/midi2-workbench/node_modules/commonmark/lib/blocks.js usr/lib/midi2-workbench/node_modules/commonmark/lib/common.js usr/lib/midi2-workbench/node_modules/commonmark/lib/from-code-point.js usr/lib/midi2-workbench/node_modules/commonmark/lib/index.js usr/lib/midi2-workbench/node_modules/commonmark/lib/inlines.js usr/lib/midi2-workbench/node_modules/commonmark/lib/node.js usr/lib/midi2-workbench/node_modules/commonmark/lib/package.json usr/lib/midi2-workbench/node_modules/commonmark/lib/render/ usr/lib/midi2-workbench/node_modules/commonmark/lib/render/html.js usr/lib/midi2-workbench/node_modules/commonmark/lib/render/renderer.js usr/lib/midi2-workbench/node_modules/commonmark/lib/render/xml.js usr/lib/midi2-workbench/node_modules/commonmark/LICENSE usr/lib/midi2-workbench/node_modules/commonmark/package.json usr/lib/midi2-workbench/node_modules/concat-map/ usr/lib/midi2-workbench/node_modules/concat-map/index.js usr/lib/midi2-workbench/node_modules/concat-map/LICENSE usr/lib/midi2-workbench/node_modules/concat-map/package.json usr/lib/midi2-workbench/node_modules/concat-map/README.markdown usr/lib/midi2-workbench/node_modules/entities/ usr/lib/midi2-workbench/node_modules/entities/lib/ usr/lib/midi2-workbench/node_modules/entities/lib/decode_codepoint.d.ts.map usr/lib/midi2-workbench/node_modules/entities/lib/decode_codepoint.js usr/lib/midi2-workbench/node_modules/entities/lib/decode.d.ts.map usr/lib/midi2-workbench/node_modules/entities/lib/decode.js usr/lib/midi2-workbench/node_modules/entities/lib/encode.d.ts.map usr/lib/midi2-workbench/node_modules/entities/lib/encode.js usr/lib/midi2-workbench/node_modules/entities/lib/index.d.ts.map usr/lib/midi2-workbench/node_modules/entities/lib/index.js usr/lib/midi2-workbench/node_modules/entities/lib/maps/ usr/lib/midi2-workbench/node_modules/entities/lib/maps/decode.json usr/lib/midi2-workbench/node_modules/entities/lib/maps/entities.json usr/lib/midi2-workbench/node_modules/entities/lib/maps/legacy.json usr/lib/midi2-workbench/node_modules/entities/lib/maps/xml.json usr/lib/midi2-workbench/node_modules/entities/LICENSE usr/lib/midi2-workbench/node_modules/entities/package.json usr/lib/midi2-workbench/node_modules/fast-deep-equal/ usr/lib/midi2-workbench/node_modules/fast-deep-equal/es6/ usr/lib/midi2-workbench/node_modules/fast-deep-equal/es6/index.js usr/lib/midi2-workbench/node_modules/fast-deep-equal/es6/react.js usr/lib/midi2-workbench/node_modules/fast-deep-equal/index.js usr/lib/midi2-workbench/node_modules/fast-deep-equal/LICENSE usr/lib/midi2-workbench/node_modules/fast-deep-equal/package.json usr/lib/midi2-workbench/node_modules/fast-deep-equal/react.js usr/lib/midi2-workbench/node_modules/file-uri-to-path/ usr/lib/midi2-workbench/node_modules/file-uri-to-path/History.md usr/lib/midi2-workbench/node_modules/file-uri-to-path/index.js usr/lib/midi2-workbench/node_modules/file-uri-to-path/LICENSE usr/lib/midi2-workbench/node_modules/file-uri-to-path/package.json usr/lib/midi2-workbench/node_modules/fs.realpath/ usr/lib/midi2-workbench/node_modules/fs.realpath/index.js usr/lib/midi2-workbench/node_modules/fs.realpath/LICENSE usr/lib/midi2-workbench/node_modules/fs.realpath/old.js usr/lib/midi2-workbench/node_modules/fs.realpath/package.json usr/lib/midi2-workbench/node_modules/glob/ usr/lib/midi2-workbench/node_modules/glob/common.js usr/lib/midi2-workbench/node_modules/glob/glob.js usr/lib/midi2-workbench/node_modules/glob/LICENSE usr/lib/midi2-workbench/node_modules/glob/package.json usr/lib/midi2-workbench/node_modules/glob/sync.js usr/lib/midi2-workbench/node_modules/inflight/ usr/lib/midi2-workbench/node_modules/inflight/inflight.js usr/lib/midi2-workbench/node_modules/inflight/LICENSE usr/lib/midi2-workbench/node_modules/inflight/package.json usr/lib/midi2-workbench/node_modules/inherits/ usr/lib/midi2-workbench/node_modules/inherits/inherits_browser.js usr/lib/midi2-workbench/node_modules/inherits/inherits.js usr/lib/midi2-workbench/node_modules/inherits/LICENSE usr/lib/midi2-workbench/node_modules/inherits/package.json usr/lib/midi2-workbench/node_modules/json-ptr/ usr/lib/midi2-workbench/node_modules/json-ptr/dist/ usr/lib/midi2-workbench/node_modules/json-ptr/dist/cjs/ usr/lib/midi2-workbench/node_modules/json-ptr/dist/cjs/index.js usr/lib/midi2-workbench/node_modules/json-ptr/dist/cjs/index.js.map usr/lib/midi2-workbench/node_modules/json-ptr/dist/cjs/package.json usr/lib/midi2-workbench/node_modules/json-ptr/dist/esm/ usr/lib/midi2-workbench/node_modules/json-ptr/dist/esm/index.js usr/lib/midi2-workbench/node_modules/json-ptr/dist/esm/index.js.map usr/lib/midi2-workbench/node_modules/json-ptr/dist/esm/package.json usr/lib/midi2-workbench/node_modules/json-ptr/dist/json-ptr.min.js usr/lib/midi2-workbench/node_modules/json-ptr/dist/json-ptr.min.js.map usr/lib/midi2-workbench/node_modules/json-ptr/dist/json-ptr.tests.js usr/lib/midi2-workbench/node_modules/json-ptr/dist/json-ptr.tests.js.map usr/lib/midi2-workbench/node_modules/json-ptr/LICENSE usr/lib/midi2-workbench/node_modules/json-ptr/package.json usr/lib/midi2-workbench/node_modules/json-schema-traverse/ usr/lib/midi2-workbench/node_modules/json-schema-traverse/.eslintrc.yml usr/lib/midi2-workbench/node_modules/json-schema-traverse/.github/ usr/lib/midi2-workbench/node_modules/json-schema-traverse/.github/FUNDING.yml usr/lib/midi2-workbench/node_modules/json-schema-traverse/.github/workflows/ usr/lib/midi2-workbench/node_modules/json-schema-traverse/.github/workflows/build.yml usr/lib/midi2-workbench/node_modules/json-schema-traverse/.github/workflows/publish.yml usr/lib/midi2-workbench/node_modules/json-schema-traverse/index.js usr/lib/midi2-workbench/node_modules/json-schema-traverse/LICENSE usr/lib/midi2-workbench/node_modules/json-schema-traverse/package.json usr/lib/midi2-workbench/node_modules/json-schema-traverse/spec/ usr/lib/midi2-workbench/node_modules/json-schema-traverse/spec/.eslintrc.yml usr/lib/midi2-workbench/node_modules/json-schema-traverse/spec/fixtures/ usr/lib/midi2-workbench/node_modules/json-schema-traverse/spec/fixtures/schema.js usr/lib/midi2-workbench/node_modules/json-schema-traverse/spec/index.spec.js usr/lib/midi2-workbench/node_modules/json-stringify-pretty-compact/ usr/lib/midi2-workbench/node_modules/json-stringify-pretty-compact/index.js usr/lib/midi2-workbench/node_modules/json-stringify-pretty-compact/LICENSE usr/lib/midi2-workbench/node_modules/json-stringify-pretty-compact/package.json usr/lib/midi2-workbench/node_modules/lokijs/ usr/lib/midi2-workbench/node_modules/lokijs/.editorconfig usr/lib/midi2-workbench/node_modules/lokijs/.github/ usr/lib/midi2-workbench/node_modules/lokijs/.github/stale.yml usr/lib/midi2-workbench/node_modules/lokijs/benchmark.html usr/lib/midi2-workbench/node_modules/lokijs/bower.json usr/lib/midi2-workbench/node_modules/lokijs/build/ usr/lib/midi2-workbench/node_modules/lokijs/build/loki-indexed-adapter.min.js usr/lib/midi2-workbench/node_modules/lokijs/build/lokijs.min.js usr/lib/midi2-workbench/node_modules/lokijs/CONTRIBUTING.md usr/lib/midi2-workbench/node_modules/lokijs/docs-conf.json usr/lib/midi2-workbench/node_modules/lokijs/karma.build.conf.js usr/lib/midi2-workbench/node_modules/lokijs/LICENSE.txt usr/lib/midi2-workbench/node_modules/lokijs/OVERVIEW.md usr/lib/midi2-workbench/node_modules/lokijs/package.json usr/lib/midi2-workbench/node_modules/lokijs/presentations/ usr/lib/midi2-workbench/node_modules/lokijs/presentations/lokijs.odp usr/lib/midi2-workbench/node_modules/lokijs/presentations/lokijs.pptx usr/lib/midi2-workbench/node_modules/lokijs/src/ usr/lib/midi2-workbench/node_modules/lokijs/src/aws-s3-sync-adapter.js usr/lib/midi2-workbench/node_modules/lokijs/src/incremental-indexeddb-adapter.js usr/lib/midi2-workbench/node_modules/lokijs/src/jquery-sync-adapter.js usr/lib/midi2-workbench/node_modules/lokijs/src/loki-angular.js usr/lib/midi2-workbench/node_modules/lokijs/src/loki-crypted-file-adapter.js usr/lib/midi2-workbench/node_modules/lokijs/src/loki-fs-structured-adapter.js usr/lib/midi2-workbench/node_modules/lokijs/src/loki-fs-sync-adapter.js usr/lib/midi2-workbench/node_modules/lokijs/src/loki-incremental-adapter.js usr/lib/midi2-workbench/node_modules/lokijs/src/loki-indexed-adapter.js usr/lib/midi2-workbench/node_modules/lokijs/src/loki-nativescript-adapter.js usr/lib/midi2-workbench/node_modules/lokijs/src/lokijs.js usr/lib/midi2-workbench/node_modules/lokijs/test.db usr/lib/midi2-workbench/node_modules/mdurl/ usr/lib/midi2-workbench/node_modules/mdurl/decode.js usr/lib/midi2-workbench/node_modules/mdurl/encode.js usr/lib/midi2-workbench/node_modules/mdurl/format.js usr/lib/midi2-workbench/node_modules/mdurl/index.js usr/lib/midi2-workbench/node_modules/mdurl/LICENSE usr/lib/midi2-workbench/node_modules/mdurl/package.json usr/lib/midi2-workbench/node_modules/mdurl/parse.js usr/lib/midi2-workbench/node_modules/midi/ usr/lib/midi2-workbench/node_modules/midi/build/ usr/lib/midi2-workbench/node_modules/midi/build/Release/ usr/lib/midi2-workbench/node_modules/midi/build/Release/midi.node usr/lib/midi2-workbench/node_modules/midi/LICENSE usr/lib/midi2-workbench/node_modules/midi/midi.js usr/lib/midi2-workbench/node_modules/midi/package.json usr/lib/midi2-workbench/node_modules/midi/src/ usr/lib/midi2-workbench/node_modules/midi/src/input.cpp usr/lib/midi2-workbench/node_modules/midi/src/input.h usr/lib/midi2-workbench/node_modules/midi/src/midi.cpp usr/lib/midi2-workbench/node_modules/midi/src/output.cpp usr/lib/midi2-workbench/node_modules/midi/src/output.h usr/lib/midi2-workbench/node_modules/midi/vendor/ usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/ usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/.github/ usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/.github/issue_template.md usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/autogen.sh usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/cmake/ usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/cmake/RtMidiConfigUninstall.cmake.in usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/CMakeLists.txt usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/configure.ac usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/contrib/ usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/contrib/go/ usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/contrib/go/rtmidi/ usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/contrib/go/rtmidi/rtmidi_stub.cpp usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/contrib/go/rtmidi/rtmidi_stub.h usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/contrib/go/rtmidi/rtmidi_test.go usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/contrib/go/rtmidi/rtmidi.go usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/doc/ usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/doc/doxygen/ usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/doc/doxygen/Doxyfile.in usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/doc/doxygen/footer.html usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/doc/doxygen/header.html usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/doc/doxygen/samples/ usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/doc/doxygen/samples/getting_started.cpp usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/doc/doxygen/tutorial.txt usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/doc/images/ usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/doc/images/ccrma.gif usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/doc/images/mcgill.gif usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/doc/Makefile.am usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/doc/release.txt usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/LICENSE usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/Makefile.am usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/msw/ usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/msw/readme usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/msw/rtmidilib.vcproj usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/README.md usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/rtmidi_c.cpp usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/rtmidi_c.h usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/rtmidi-config.in usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/RtMidi.cpp usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/RtMidi.h usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/rtmidi.pc.in usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/tests/ usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/tests/apinames.cpp usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/tests/cmidiin.cpp usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/tests/cmidiin.dsp usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/tests/Debug/ usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/tests/Debug/.placeholder usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/tests/Makefile.am usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/tests/midiclock.cpp usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/tests/midiout.cpp usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/tests/midiout.dsp usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/tests/midiprobe.cpp usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/tests/midiprobe.dsp usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/tests/qmidiin.cpp usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/tests/qmidiin.dsp usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/tests/Release/ usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/tests/Release/.placeholder usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/tests/RtMidi.dsw usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/tests/sysextest.cpp usr/lib/midi2-workbench/node_modules/midi/vendor/rtmidi/tests/sysextest.dsp usr/lib/midi2-workbench/node_modules/minimatch/ usr/lib/midi2-workbench/node_modules/minimatch/LICENSE usr/lib/midi2-workbench/node_modules/minimatch/minimatch.js usr/lib/midi2-workbench/node_modules/minimatch/package.json usr/lib/midi2-workbench/node_modules/minimist/ usr/lib/midi2-workbench/node_modules/minimist/.github/ usr/lib/midi2-workbench/node_modules/minimist/.github/FUNDING.yml usr/lib/midi2-workbench/node_modules/minimist/.nycrc usr/lib/midi2-workbench/node_modules/minimist/index.js usr/lib/midi2-workbench/node_modules/minimist/LICENSE usr/lib/midi2-workbench/node_modules/minimist/package.json usr/lib/midi2-workbench/node_modules/nan/ usr/lib/midi2-workbench/node_modules/nan/CMakeLists.txt usr/lib/midi2-workbench/node_modules/nan/doc/ usr/lib/midi2-workbench/node_modules/nan/doc/asyncworker.md usr/lib/midi2-workbench/node_modules/nan/doc/buffers.md usr/lib/midi2-workbench/node_modules/nan/doc/callback.md usr/lib/midi2-workbench/node_modules/nan/doc/converters.md usr/lib/midi2-workbench/node_modules/nan/doc/errors.md usr/lib/midi2-workbench/node_modules/nan/doc/json.md usr/lib/midi2-workbench/node_modules/nan/doc/maybe_types.md usr/lib/midi2-workbench/node_modules/nan/doc/methods.md usr/lib/midi2-workbench/node_modules/nan/doc/new.md usr/lib/midi2-workbench/node_modules/nan/doc/node_misc.md usr/lib/midi2-workbench/node_modules/nan/doc/object_wrappers.md usr/lib/midi2-workbench/node_modules/nan/doc/persistent.md usr/lib/midi2-workbench/node_modules/nan/doc/scopes.md usr/lib/midi2-workbench/node_modules/nan/doc/script.md usr/lib/midi2-workbench/node_modules/nan/doc/string_bytes.md usr/lib/midi2-workbench/node_modules/nan/doc/v8_internals.md usr/lib/midi2-workbench/node_modules/nan/doc/v8_misc.md usr/lib/midi2-workbench/node_modules/nan/include_dirs.js usr/lib/midi2-workbench/node_modules/nan/LICENSE.md usr/lib/midi2-workbench/node_modules/nan/nan_callbacks_12_inl.h usr/lib/midi2-workbench/node_modules/nan/nan_callbacks_pre_12_inl.h usr/lib/midi2-workbench/node_modules/nan/nan_callbacks.h usr/lib/midi2-workbench/node_modules/nan/nan_converters_43_inl.h usr/lib/midi2-workbench/node_modules/nan/nan_converters_pre_43_inl.h usr/lib/midi2-workbench/node_modules/nan/nan_converters.h usr/lib/midi2-workbench/node_modules/nan/nan_define_own_property_helper.h usr/lib/midi2-workbench/node_modules/nan/nan_implementation_12_inl.h usr/lib/midi2-workbench/node_modules/nan/nan_implementation_pre_12_inl.h usr/lib/midi2-workbench/node_modules/nan/nan_json.h usr/lib/midi2-workbench/node_modules/nan/nan_maybe_43_inl.h usr/lib/midi2-workbench/node_modules/nan/nan_maybe_pre_43_inl.h usr/lib/midi2-workbench/node_modules/nan/nan_new.h usr/lib/midi2-workbench/node_modules/nan/nan_object_wrap.h usr/lib/midi2-workbench/node_modules/nan/nan_persistent_12_inl.h usr/lib/midi2-workbench/node_modules/nan/nan_persistent_pre_12_inl.h usr/lib/midi2-workbench/node_modules/nan/nan_private.h usr/lib/midi2-workbench/node_modules/nan/nan_scriptorigin.h usr/lib/midi2-workbench/node_modules/nan/nan_string_bytes.h usr/lib/midi2-workbench/node_modules/nan/nan_typedarray_contents.h usr/lib/midi2-workbench/node_modules/nan/nan_weak.h usr/lib/midi2-workbench/node_modules/nan/nan.h usr/lib/midi2-workbench/node_modules/nan/package.json usr/lib/midi2-workbench/node_modules/nan/tools/ usr/lib/midi2-workbench/node_modules/nan/tools/1to2.js usr/lib/midi2-workbench/node_modules/nan/tools/package.json usr/lib/midi2-workbench/node_modules/nan/tools/README.md usr/lib/midi2-workbench/node_modules/node-gyp-build/ usr/lib/midi2-workbench/node_modules/node-gyp-build/bin.js usr/lib/midi2-workbench/node_modules/node-gyp-build/build-test.js usr/lib/midi2-workbench/node_modules/node-gyp-build/index.js usr/lib/midi2-workbench/node_modules/node-gyp-build/LICENSE usr/lib/midi2-workbench/node_modules/node-gyp-build/node-gyp-build.js usr/lib/midi2-workbench/node_modules/node-gyp-build/optional.js usr/lib/midi2-workbench/node_modules/node-gyp-build/package.json usr/lib/midi2-workbench/node_modules/once/ usr/lib/midi2-workbench/node_modules/once/LICENSE usr/lib/midi2-workbench/node_modules/once/once.js usr/lib/midi2-workbench/node_modules/once/package.json usr/lib/midi2-workbench/node_modules/pako/ usr/lib/midi2-workbench/node_modules/pako/dist/ usr/lib/midi2-workbench/node_modules/pako/dist/pako_deflate.es5.js usr/lib/midi2-workbench/node_modules/pako/dist/pako_deflate.es5.min.js usr/lib/midi2-workbench/node_modules/pako/dist/pako_deflate.js usr/lib/midi2-workbench/node_modules/pako/dist/pako_deflate.min.js usr/lib/midi2-workbench/node_modules/pako/dist/pako_inflate.es5.js usr/lib/midi2-workbench/node_modules/pako/dist/pako_inflate.es5.min.js usr/lib/midi2-workbench/node_modules/pako/dist/pako_inflate.js usr/lib/midi2-workbench/node_modules/pako/dist/pako_inflate.min.js usr/lib/midi2-workbench/node_modules/pako/dist/pako.es5.js usr/lib/midi2-workbench/node_modules/pako/dist/pako.es5.min.js usr/lib/midi2-workbench/node_modules/pako/dist/pako.esm.mjs usr/lib/midi2-workbench/node_modules/pako/dist/pako.js usr/lib/midi2-workbench/node_modules/pako/dist/pako.min.js usr/lib/midi2-workbench/node_modules/pako/index.js usr/lib/midi2-workbench/node_modules/pako/lib/ usr/lib/midi2-workbench/node_modules/pako/lib/deflate.js usr/lib/midi2-workbench/node_modules/pako/lib/inflate.js usr/lib/midi2-workbench/node_modules/pako/lib/utils/ usr/lib/midi2-workbench/node_modules/pako/lib/utils/common.js usr/lib/midi2-workbench/node_modules/pako/lib/utils/strings.js usr/lib/midi2-workbench/node_modules/pako/lib/zlib/ usr/lib/midi2-workbench/node_modules/pako/lib/zlib/adler32.js usr/lib/midi2-workbench/node_modules/pako/lib/zlib/constants.js usr/lib/midi2-workbench/node_modules/pako/lib/zlib/crc32.js usr/lib/midi2-workbench/node_modules/pako/lib/zlib/deflate.js usr/lib/midi2-workbench/node_modules/pako/lib/zlib/gzheader.js usr/lib/midi2-workbench/node_modules/pako/lib/zlib/inffast.js usr/lib/midi2-workbench/node_modules/pako/lib/zlib/inflate.js usr/lib/midi2-workbench/node_modules/pako/lib/zlib/inftrees.js usr/lib/midi2-workbench/node_modules/pako/lib/zlib/messages.js usr/lib/midi2-workbench/node_modules/pako/lib/zlib/README usr/lib/midi2-workbench/node_modules/pako/lib/zlib/trees.js usr/lib/midi2-workbench/node_modules/pako/lib/zlib/zstream.js usr/lib/midi2-workbench/node_modules/pako/LICENSE usr/lib/midi2-workbench/node_modules/pako/package.json usr/lib/midi2-workbench/node_modules/path-is-absolute/ usr/lib/midi2-workbench/node_modules/path-is-absolute/index.js usr/lib/midi2-workbench/node_modules/path-is-absolute/license usr/lib/midi2-workbench/node_modules/path-is-absolute/package.json usr/lib/midi2-workbench/node_modules/path/ usr/lib/midi2-workbench/node_modules/path/LICENSE usr/lib/midi2-workbench/node_modules/path/package.json usr/lib/midi2-workbench/node_modules/path/path.js usr/lib/midi2-workbench/node_modules/pretty-print-json/ usr/lib/midi2-workbench/node_modules/pretty-print-json/dist/ usr/lib/midi2-workbench/node_modules/pretty-print-json/dist/css/ usr/lib/midi2-workbench/node_modules/pretty-print-json/dist/css/pretty-print-json.css usr/lib/midi2-workbench/node_modules/pretty-print-json/dist/css/pretty-print-json.dark-mode.css usr/lib/midi2-workbench/node_modules/pretty-print-json/dist/css/pretty-print-json.dark-mode.min.css usr/lib/midi2-workbench/node_modules/pretty-print-json/dist/css/pretty-print-json.min.css usr/lib/midi2-workbench/node_modules/pretty-print-json/dist/css/pretty-print-json.prefers.css usr/lib/midi2-workbench/node_modules/pretty-print-json/dist/css/pretty-print-json.prefers.min.css usr/lib/midi2-workbench/node_modules/pretty-print-json/dist/pretty-print-json.dev.js usr/lib/midi2-workbench/node_modules/pretty-print-json/dist/pretty-print-json.js usr/lib/midi2-workbench/node_modules/pretty-print-json/dist/pretty-print-json.min.js usr/lib/midi2-workbench/node_modules/pretty-print-json/dist/pretty-print-json.umd.cjs usr/lib/midi2-workbench/node_modules/pretty-print-json/LICENSE.txt usr/lib/midi2-workbench/node_modules/pretty-print-json/package.json usr/lib/midi2-workbench/node_modules/process/ usr/lib/midi2-workbench/node_modules/process/browser.js usr/lib/midi2-workbench/node_modules/process/index.js usr/lib/midi2-workbench/node_modules/process/LICENSE usr/lib/midi2-workbench/node_modules/process/package.json usr/lib/midi2-workbench/node_modules/punycode/ usr/lib/midi2-workbench/node_modules/punycode/LICENSE-MIT.txt usr/lib/midi2-workbench/node_modules/punycode/package.json usr/lib/midi2-workbench/node_modules/punycode/punycode.es6.js usr/lib/midi2-workbench/node_modules/punycode/punycode.js usr/lib/midi2-workbench/node_modules/require-from-string/ usr/lib/midi2-workbench/node_modules/require-from-string/index.js usr/lib/midi2-workbench/node_modules/require-from-string/license usr/lib/midi2-workbench/node_modules/require-from-string/package.json usr/lib/midi2-workbench/node_modules/standardized-audio-context/ usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/ usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/ usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/constants.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/constants.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/constants.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/ usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/abort-error.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/abort-error.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/abort-error.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-active-input-connection-to-audio-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-active-input-connection-to-audio-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-active-input-connection-to-audio-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-audio-node-connections.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-audio-node-connections.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-audio-node-connections.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-audio-param-connections.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-audio-param-connections.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-audio-param-connections.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-audio-worklet-module.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-audio-worklet-module.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-audio-worklet-module.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-connection-to-audio-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-connection-to-audio-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-connection-to-audio-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-passive-input-connection-to-audio-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-passive-input-connection-to-audio-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-passive-input-connection-to-audio-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-silent-connection.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-silent-connection.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-silent-connection.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-unrendered-audio-worklet-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-unrendered-audio-worklet-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/add-unrendered-audio-worklet-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/analyser-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/analyser-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/analyser-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/analyser-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/analyser-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/analyser-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-buffer-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-buffer-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-buffer-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-buffer-source-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-buffer-source-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-buffer-source-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-buffer-source-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-buffer-source-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-buffer-source-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-context-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-context-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-context-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-destination-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-destination-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-destination-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-destination-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-destination-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-destination-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-listener-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-listener-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-listener-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-param-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-param-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-param-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-param-renderer.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-param-renderer.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-param-renderer.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-worklet-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-worklet-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-worklet-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-worklet-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-worklet-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/audio-worklet-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/base-audio-context-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/base-audio-context-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/base-audio-context-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/biquad-filter-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/biquad-filter-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/biquad-filter-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/biquad-filter-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/biquad-filter-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/biquad-filter-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/cache-test-result.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/cache-test-result.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/cache-test-result.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/channel-merger-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/channel-merger-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/channel-merger-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/channel-merger-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/channel-merger-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/channel-merger-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/channel-splitter-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/channel-splitter-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/channel-splitter-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/channel-splitter-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/channel-splitter-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/channel-splitter-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/connect-audio-param.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/connect-audio-param.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/connect-audio-param.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/connect-multiple-outputs.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/connect-multiple-outputs.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/connect-multiple-outputs.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/connected-native-audio-buffer-source-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/connected-native-audio-buffer-source-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/connected-native-audio-buffer-source-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/constant-source-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/constant-source-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/constant-source-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/constant-source-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/constant-source-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/constant-source-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/convert-number-to-unsigned-long.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/convert-number-to-unsigned-long.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/convert-number-to-unsigned-long.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/convolver-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/convolver-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/convolver-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/convolver-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/convolver-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/convolver-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/create-native-offline-audio-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/create-native-offline-audio-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/create-native-offline-audio-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/data-clone-error.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/data-clone-error.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/data-clone-error.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/decode-audio-data.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/decode-audio-data.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/decode-audio-data.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/decrement-cycle-counter.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/decrement-cycle-counter.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/decrement-cycle-counter.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/delay-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/delay-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/delay-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/delay-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/delay-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/delay-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/delete-active-input-connection-to-audio-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/delete-active-input-connection-to-audio-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/delete-active-input-connection-to-audio-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/delete-unrendered-audio-worklet-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/delete-unrendered-audio-worklet-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/delete-unrendered-audio-worklet-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/detect-cycles.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/detect-cycles.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/detect-cycles.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/disconnect-multiple-outputs.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/disconnect-multiple-outputs.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/disconnect-multiple-outputs.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/dynamics-compressor-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/dynamics-compressor-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/dynamics-compressor-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/dynamics-compressor-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/dynamics-compressor-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/dynamics-compressor-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/encoding-error.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/encoding-error.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/encoding-error.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/evaluate-source.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/evaluate-source.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/evaluate-source.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/event-target-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/event-target-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/event-target-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/expose-current-frame-and-current-time.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/expose-current-frame-and-current-time.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/expose-current-frame-and-current-time.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/fetch-source.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/fetch-source.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/fetch-source.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/gain-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/gain-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/gain-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/gain-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/gain-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/gain-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-active-audio-worklet-node-inputs.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-active-audio-worklet-node-inputs.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-active-audio-worklet-node-inputs.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-audio-node-renderer.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-audio-node-renderer.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-audio-node-renderer.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-audio-node-tail-time.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-audio-node-tail-time.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-audio-node-tail-time.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-audio-param-renderer.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-audio-param-renderer.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-audio-param-renderer.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-backup-offline-audio-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-backup-offline-audio-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-backup-offline-audio-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-native-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-native-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-native-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-or-create-backup-offline-audio-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-or-create-backup-offline-audio-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-or-create-backup-offline-audio-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-unrendered-audio-worklet-nodes.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-unrendered-audio-worklet-nodes.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/get-unrendered-audio-worklet-nodes.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/iir-filter-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/iir-filter-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/iir-filter-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/iir-filter-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/iir-filter-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/iir-filter-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/increment-cycle-counter-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/increment-cycle-counter-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/increment-cycle-counter-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/index-size-error.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/index-size-error.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/index-size-error.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/invalid-access-error.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/invalid-access-error.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/invalid-access-error.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/invalid-state-error.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/invalid-state-error.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/invalid-state-error.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-any-audio-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-any-audio-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-any-audio-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-any-audio-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-any-audio-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-any-audio-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-any-audio-param.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-any-audio-param.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-any-audio-param.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-any-offline-audio-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-any-offline-audio-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-any-offline-audio-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-native-audio-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-native-audio-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-native-audio-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-native-audio-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-native-audio-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-native-audio-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-native-audio-param.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-native-audio-param.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-native-audio-param.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-native-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-native-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-native-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-native-offline-audio-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-native-offline-audio-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-native-offline-audio-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-secure-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-secure-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-secure-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-supported-promise.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-supported-promise.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/is-supported-promise.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/media-element-audio-source-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/media-element-audio-source-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/media-element-audio-source-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/media-stream-audio-destination-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/media-stream-audio-destination-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/media-stream-audio-destination-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/media-stream-audio-source-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/media-stream-audio-source-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/media-stream-audio-source-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/media-stream-track-audio-source-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/media-stream-track-audio-source-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/media-stream-track-audio-source-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/minimal-audio-context-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/minimal-audio-context-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/minimal-audio-context-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/minimal-base-audio-context-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/minimal-base-audio-context-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/minimal-base-audio-context-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/minimal-offline-audio-context-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/minimal-offline-audio-context-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/minimal-offline-audio-context-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/monitor-connections.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/monitor-connections.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/monitor-connections.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-analyser-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-analyser-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-analyser-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-audio-buffer-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-audio-buffer-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-audio-buffer-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-audio-buffer-source-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-audio-buffer-source-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-audio-buffer-source-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-audio-context-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-audio-context-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-audio-context-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-audio-destination-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-audio-destination-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-audio-destination-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-audio-worklet-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-audio-worklet-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-audio-worklet-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-audio-worklet-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-audio-worklet-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-audio-worklet-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-audio-worklet-node-faker-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-audio-worklet-node-faker-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-audio-worklet-node-faker-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-biquad-filter-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-biquad-filter-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-biquad-filter-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-channel-merger-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-channel-merger-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-channel-merger-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-channel-splitter-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-channel-splitter-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-channel-splitter-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-constant-source-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-constant-source-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-constant-source-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-constant-source-node-faker-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-constant-source-node-faker-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-constant-source-node-faker-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-convolver-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-convolver-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-convolver-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-delay-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-delay-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-delay-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-dynamics-compressor-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-dynamics-compressor-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-dynamics-compressor-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-gain-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-gain-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-gain-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-iir-filter-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-iir-filter-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-iir-filter-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-iir-filter-node-faker-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-iir-filter-node-faker-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-iir-filter-node-faker-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-media-element-audio-source-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-media-element-audio-source-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-media-element-audio-source-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-media-stream-audio-destination-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-media-stream-audio-destination-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-media-stream-audio-destination-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-media-stream-audio-source-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-media-stream-audio-source-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-media-stream-audio-source-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-media-stream-track-audio-source-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-media-stream-track-audio-source-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-media-stream-track-audio-source-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-offline-audio-context-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-offline-audio-context-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-offline-audio-context-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-oscillator-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-oscillator-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-oscillator-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-panner-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-panner-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-panner-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-panner-node-faker-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-panner-node-faker-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-panner-node-faker-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-periodic-wave-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-periodic-wave-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-periodic-wave-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-script-processor-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-script-processor-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-script-processor-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-stereo-panner-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-stereo-panner-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-stereo-panner-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-stereo-panner-node-faker-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-stereo-panner-node-faker-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-stereo-panner-node-faker-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-wave-shaper-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-wave-shaper-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-wave-shaper-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-wave-shaper-node-faker-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-wave-shaper-node-faker-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/native-wave-shaper-node-faker-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/not-supported-error.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/not-supported-error.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/not-supported-error.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/offline-audio-context-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/offline-audio-context-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/offline-audio-context-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/oscillator-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/oscillator-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/oscillator-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/oscillator-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/oscillator-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/oscillator-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/panner-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/panner-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/panner-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/panner-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/panner-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/panner-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/periodic-wave-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/periodic-wave-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/periodic-wave-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/render-automation.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/render-automation.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/render-automation.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/render-inputs-of-audio-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/render-inputs-of-audio-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/render-inputs-of-audio-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/render-inputs-of-audio-param.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/render-inputs-of-audio-param.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/render-inputs-of-audio-param.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/render-native-offline-audio-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/render-native-offline-audio-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/render-native-offline-audio-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/set-active-audio-worklet-node-inputs.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/set-active-audio-worklet-node-inputs.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/set-active-audio-worklet-node-inputs.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/set-audio-node-tail-time.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/set-audio-node-tail-time.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/set-audio-node-tail-time.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/start-rendering.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/start-rendering.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/start-rendering.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/stereo-panner-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/stereo-panner-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/stereo-panner-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/stereo-panner-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/stereo-panner-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/stereo-panner-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-buffer-constructor-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-buffer-constructor-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-buffer-constructor-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-buffer-copy-channel-methods-subarray-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-buffer-copy-channel-methods-subarray-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-buffer-copy-channel-methods-subarray-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-context-close-method-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-context-close-method-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-context-close-method-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-context-decode-audio-data-method-type-error-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-context-decode-audio-data-method-type-error-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-context-decode-audio-data-method-type-error-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-context-options-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-context-options-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-context-options-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-node-connect-method-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-node-connect-method-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-node-connect-method-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-worklet-processor-no-outputs-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-worklet-processor-no-outputs-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-worklet-processor-no-outputs-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-worklet-processor-post-message-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-worklet-processor-post-message-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-audio-worklet-processor-post-message-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-channel-merger-node-channel-count-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-channel-merger-node-channel-count-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-channel-merger-node-channel-count-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-constant-source-node-accurate-scheduling-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-constant-source-node-accurate-scheduling-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-constant-source-node-accurate-scheduling-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-convolver-node-buffer-reassignability-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-convolver-node-buffer-reassignability-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-convolver-node-buffer-reassignability-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-convolver-node-channel-count-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-convolver-node-channel-count-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-convolver-node-channel-count-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-is-secure-context-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-is-secure-context-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-is-secure-context-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-media-stream-audio-source-node-media-stream-without-audio-track-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-media-stream-audio-source-node-media-stream-without-audio-track-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-media-stream-audio-source-node-media-stream-without-audio-track-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-offline-audio-context-current-time-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-offline-audio-context-current-time-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-offline-audio-context-current-time-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-stereo-panner-node-default-value-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-stereo-panner-node-default-value-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/test-stereo-panner-node-default-value-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/unknown-error.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/unknown-error.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/unknown-error.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/wave-shaper-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/wave-shaper-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/wave-shaper-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/wave-shaper-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/wave-shaper-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/wave-shaper-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/window.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/window.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/window.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/wrap-audio-buffer-copy-channel-methods-out-of-bounds.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/wrap-audio-buffer-copy-channel-methods-out-of-bounds.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/wrap-audio-buffer-copy-channel-methods-out-of-bounds.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/wrap-audio-buffer-copy-channel-methods.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/wrap-audio-buffer-copy-channel-methods.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/wrap-audio-buffer-copy-channel-methods.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/wrap-audio-buffer-source-node-stop-method-nullified-buffer.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/wrap-audio-buffer-source-node-stop-method-nullified-buffer.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/wrap-audio-buffer-source-node-stop-method-nullified-buffer.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/wrap-channel-merger-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/wrap-channel-merger-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/factories/wrap-channel-merger-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/globals.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/globals.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/globals.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/ usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/audio-buffer-source-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/audio-buffer-source-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/audio-buffer-source-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/audio-node-output-connection.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/audio-node-output-connection.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/audio-node-output-connection.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/audio-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/audio-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/audio-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/audio-worklet-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/audio-worklet-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/audio-worklet-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/biquad-filter-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/biquad-filter-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/biquad-filter-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/constant-source-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/constant-source-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/constant-source-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/delay-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/delay-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/delay-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/gain-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/gain-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/gain-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/native-audio-node-faker.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/native-audio-node-faker.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/native-audio-node-faker.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/native-audio-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/native-audio-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/native-audio-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/oscillator-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/oscillator-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/oscillator-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/stereo-panner-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/stereo-panner-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/guards/stereo-panner-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/ usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/add-active-input-connection-to-audio-param.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/add-active-input-connection-to-audio-param.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/add-active-input-connection-to-audio-param.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/add-passive-input-connection-to-audio-param.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/add-passive-input-connection-to-audio-param.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/add-passive-input-connection-to-audio-param.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/assign-native-audio-node-audio-param-value.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/assign-native-audio-node-audio-param-value.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/assign-native-audio-node-audio-param-value.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/assign-native-audio-node-option.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/assign-native-audio-node-option.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/assign-native-audio-node-option.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/assign-native-audio-node-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/assign-native-audio-node-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/assign-native-audio-node-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/clone-audio-worklet-node-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/clone-audio-worklet-node-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/clone-audio-worklet-node-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/compute-buffer-size.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/compute-buffer-size.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/compute-buffer-size.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/connect-native-audio-node-to-native-audio-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/connect-native-audio-node-to-native-audio-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/connect-native-audio-node-to-native-audio-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/copy-from-channel.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/copy-from-channel.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/copy-from-channel.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/copy-to-channel.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/copy-to-channel.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/copy-to-channel.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/create-audio-worklet-processor-promise.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/create-audio-worklet-processor-promise.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/create-audio-worklet-processor-promise.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/create-audio-worklet-processor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/create-audio-worklet-processor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/create-audio-worklet-processor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/create-nested-arrays.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/create-nested-arrays.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/create-nested-arrays.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/deactivate-active-audio-node-input-connections.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/deactivate-active-audio-node-input-connections.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/deactivate-active-audio-node-input-connections.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/deactivate-audio-graph.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/deactivate-audio-graph.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/deactivate-audio-graph.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/delete-active-input-connection-to-audio-param.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/delete-active-input-connection-to-audio-param.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/delete-active-input-connection-to-audio-param.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/delete-active-input-connection.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/delete-active-input-connection.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/delete-active-input-connection.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/delete-event-listeners-of-audio-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/delete-event-listeners-of-audio-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/delete-event-listeners-of-audio-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/delete-passive-input-connection-to-audio-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/delete-passive-input-connection-to-audio-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/delete-passive-input-connection-to-audio-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/delete-passive-input-connection-to-audio-param.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/delete-passive-input-connection-to-audio-param.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/delete-passive-input-connection-to-audio-param.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/detach-array-buffer.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/detach-array-buffer.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/detach-array-buffer.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/disconnect-native-audio-node-from-native-audio-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/disconnect-native-audio-node-from-native-audio-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/disconnect-native-audio-node-from-native-audio-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/filter-buffer.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/filter-buffer.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/filter-buffer.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-audio-node-connections.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-audio-node-connections.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-audio-node-connections.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-audio-param-connections.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-audio-param-connections.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-audio-param-connections.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-audio-worklet-processor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-audio-worklet-processor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-audio-worklet-processor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-event-listeners-of-audio-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-event-listeners-of-audio-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-event-listeners-of-audio-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-first-sample.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-first-sample.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-first-sample.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-native-audio-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-native-audio-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-native-audio-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-native-audio-param.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-native-audio-param.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-native-audio-param.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-value-for-key.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-value-for-key.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/get-value-for-key.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/insert-element-in-set.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/insert-element-in-set.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/insert-element-in-set.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/intercept-connections.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/intercept-connections.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/intercept-connections.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/is-active-audio-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/is-active-audio-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/is-active-audio-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/is-constructible.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/is-constructible.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/is-constructible.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/is-dc-curve.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/is-dc-curve.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/is-dc-curve.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/is-owned-by-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/is-owned-by-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/is-owned-by-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/is-part-of-a-cycle.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/is-part-of-a-cycle.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/is-part-of-a-cycle.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/is-passive-audio-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/is-passive-audio-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/is-passive-audio-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/is-valid-latency-hint.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/is-valid-latency-hint.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/is-valid-latency-hint.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/overwrite-accessors.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/overwrite-accessors.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/overwrite-accessors.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/pick-element-from-set.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/pick-element-from-set.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/pick-element-from-set.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/sanitize-audio-worklet-node-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/sanitize-audio-worklet-node-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/sanitize-audio-worklet-node-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/sanitize-channel-splitter-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/sanitize-channel-splitter-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/sanitize-channel-splitter-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/sanitize-periodic-wave-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/sanitize-periodic-wave-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/sanitize-periodic-wave-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/set-internal-state-to-active.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/set-internal-state-to-active.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/set-internal-state-to-active.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/set-internal-state-to-passive-when-necessary.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/set-internal-state-to-passive-when-necessary.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/set-internal-state-to-passive-when-necessary.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/set-internal-state-to-passive.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/set-internal-state-to-passive.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/set-internal-state-to-passive.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/set-value-at-time-until-possible.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/set-value-at-time-until-possible.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/set-value-at-time-until-possible.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/split-import-statements.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/split-import-statements.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/split-import-statements.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-analyser-node-get-float-time-domain-data-method-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-analyser-node-get-float-time-domain-data-method-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-analyser-node-get-float-time-domain-data-method-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-buffer-copy-channel-methods-out-of-bounds-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-buffer-copy-channel-methods-out-of-bounds-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-buffer-copy-channel-methods-out-of-bounds-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-buffer-source-node-start-method-consecutive-calls-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-buffer-source-node-start-method-consecutive-calls-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-buffer-source-node-start-method-consecutive-calls-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-buffer-source-node-start-method-offset-clamping-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-buffer-source-node-start-method-offset-clamping-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-buffer-source-node-start-method-offset-clamping-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-buffer-source-node-stop-method-nullified-buffer-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-buffer-source-node-stop-method-nullified-buffer-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-buffer-source-node-stop-method-nullified-buffer-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-node-disconnect-method-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-node-disconnect-method-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-node-disconnect-method-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-scheduled-source-node-start-method-negative-parameters-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-scheduled-source-node-start-method-negative-parameters-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-scheduled-source-node-start-method-negative-parameters-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-scheduled-source-node-stop-method-consecutive-calls-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-scheduled-source-node-stop-method-consecutive-calls-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-scheduled-source-node-stop-method-consecutive-calls-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-scheduled-source-node-stop-method-negative-parameters-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-scheduled-source-node-stop-method-negative-parameters-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-scheduled-source-node-stop-method-negative-parameters-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-worklet-node-options-clonability.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-worklet-node-options-clonability.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-audio-worklet-node-options-clonability.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-clonability-of-audio-worklet-node-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-clonability-of-audio-worklet-node-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-clonability-of-audio-worklet-node-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-dom-exception-constructor-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-dom-exception-constructor-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-dom-exception-constructor-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-promise-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-promise-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-promise-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-transferables-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-transferables-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/test-transferables-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/visit-each-audio-node-once.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/visit-each-audio-node-once.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/visit-each-audio-node-once.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-analyser-node-get-float-time-domain-data-method.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-analyser-node-get-float-time-domain-data-method.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-analyser-node-get-float-time-domain-data-method.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-audio-buffer-get-channel-data-method.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-audio-buffer-get-channel-data-method.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-audio-buffer-get-channel-data-method.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-audio-buffer-source-node-start-method-consecutive-calls.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-audio-buffer-source-node-start-method-consecutive-calls.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-audio-buffer-source-node-start-method-consecutive-calls.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-audio-buffer-source-node-start-method-offset-clamping.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-audio-buffer-source-node-start-method-offset-clamping.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-audio-buffer-source-node-start-method-offset-clamping.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-audio-node-disconnect-method.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-audio-node-disconnect-method.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-audio-node-disconnect-method.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-audio-scheduled-source-node-start-method-negative-parameters.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-audio-scheduled-source-node-start-method-negative-parameters.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-audio-scheduled-source-node-start-method-negative-parameters.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-audio-scheduled-source-node-stop-method-consecutive-calls.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-audio-scheduled-source-node-stop-method-consecutive-calls.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-audio-scheduled-source-node-stop-method-consecutive-calls.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-audio-scheduled-source-node-stop-method-negative-parameters.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-audio-scheduled-source-node-stop-method-negative-parameters.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-audio-scheduled-source-node-stop-method-negative-parameters.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-channel-splitter-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-channel-splitter-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-channel-splitter-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-event-listener.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-event-listener.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-event-listener.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-iir-filter-node-get-frequency-response-method.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-iir-filter-node-get-frequency-response-method.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/helpers/wrap-iir-filter-node-get-frequency-response-method.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/ usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/analyser-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/analyser-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/analyser-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/analyser-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/analyser-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/analyser-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-buffer-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-buffer-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-buffer-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-buffer-source-node-renderer.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-buffer-source-node-renderer.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-buffer-source-node-renderer.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-buffer-source-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-buffer-source-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-buffer-source-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-buffer-source-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-buffer-source-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-buffer-source-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-buffer.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-buffer.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-buffer.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-context-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-context-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-context-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-destination-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-destination-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-destination-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-listener.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-listener.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-listener.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-node-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-node-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-node-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-node-renderer.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-node-renderer.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-node-renderer.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-param-descriptor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-param-descriptor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-param-descriptor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-param-renderer.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-param-renderer.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-param-renderer.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-param.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-param.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-param.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-scheduled-source-node-event-map.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-scheduled-source-node-event-map.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-scheduled-source-node-event-map.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-scheduled-source-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-scheduled-source-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-scheduled-source-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-worklet-node-event-map.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-worklet-node-event-map.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-worklet-node-event-map.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-worklet-node-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-worklet-node-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-worklet-node-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-worklet-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-worklet-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-worklet-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-worklet-processor-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-worklet-processor-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-worklet-processor-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-worklet-processor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-worklet-processor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-worklet-processor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-worklet.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-worklet.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/audio-worklet.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/automation.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/automation.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/automation.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/base-audio-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/base-audio-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/base-audio-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/biquad-filter-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/biquad-filter-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/biquad-filter-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/biquad-filter-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/biquad-filter-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/biquad-filter-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/channel-merger-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/channel-merger-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/channel-merger-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/channel-splitter-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/channel-splitter-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/channel-splitter-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/common-audio-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/common-audio-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/common-audio-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/common-offline-audio-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/common-offline-audio-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/common-offline-audio-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/constant-source-node-renderer.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/constant-source-node-renderer.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/constant-source-node-renderer.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/constant-source-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/constant-source-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/constant-source-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/constant-source-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/constant-source-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/constant-source-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/convolver-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/convolver-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/convolver-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/convolver-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/convolver-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/convolver-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/delay-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/delay-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/delay-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/delay-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/delay-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/delay-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/dynamics-compressor-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/dynamics-compressor-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/dynamics-compressor-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/dynamics-compressor-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/dynamics-compressor-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/dynamics-compressor-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/event-target.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/event-target.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/event-target.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/gain-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/gain-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/gain-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/gain-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/gain-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/gain-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/iir-filter-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/iir-filter-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/iir-filter-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/iir-filter-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/iir-filter-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/iir-filter-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/index.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/index.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/index.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/media-element-audio-source-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/media-element-audio-source-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/media-element-audio-source-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/media-element-audio-source-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/media-element-audio-source-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/media-element-audio-source-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/media-stream-audio-destination-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/media-stream-audio-destination-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/media-stream-audio-destination-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/media-stream-audio-source-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/media-stream-audio-source-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/media-stream-audio-source-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/media-stream-audio-source-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/media-stream-audio-source-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/media-stream-audio-source-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/media-stream-track-audio-source-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/media-stream-track-audio-source-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/media-stream-track-audio-source-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/media-stream-track-audio-source-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/media-stream-track-audio-source-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/media-stream-track-audio-source-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/minimal-audio-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/minimal-audio-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/minimal-audio-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/minimal-base-audio-context-event-map.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/minimal-base-audio-context-event-map.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/minimal-base-audio-context-event-map.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/minimal-base-audio-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/minimal-base-audio-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/minimal-base-audio-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/minimal-offline-audio-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/minimal-offline-audio-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/minimal-offline-audio-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-audio-node-faker.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-audio-node-faker.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-audio-node-faker.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-audio-worklet-node-faker.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-audio-worklet-node-faker.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-audio-worklet-node-faker.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-constant-source-node-faker.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-constant-source-node-faker.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-constant-source-node-faker.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-convolver-node-faker.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-convolver-node-faker.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-convolver-node-faker.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-iir-filter-node-faker.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-iir-filter-node-faker.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-iir-filter-node-faker.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-panner-node-faker.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-panner-node-faker.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-panner-node-faker.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-stereo-panner-node-faker.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-stereo-panner-node-faker.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-stereo-panner-node-faker.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-wave-shaper-node-faker.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-wave-shaper-node-faker.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/native-wave-shaper-node-faker.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/offline-audio-completion-event.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/offline-audio-completion-event.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/offline-audio-completion-event.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/offline-audio-context-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/offline-audio-context-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/offline-audio-context-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/offline-audio-context-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/offline-audio-context-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/offline-audio-context-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/offline-audio-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/offline-audio-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/offline-audio-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/oscillator-node-renderer.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/oscillator-node-renderer.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/oscillator-node-renderer.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/oscillator-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/oscillator-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/oscillator-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/oscillator-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/oscillator-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/oscillator-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/panner-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/panner-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/panner-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/panner-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/panner-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/panner-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/periodic-wave-constraints.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/periodic-wave-constraints.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/periodic-wave-constraints.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/periodic-wave-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/periodic-wave-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/periodic-wave-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/periodic-wave.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/periodic-wave.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/periodic-wave.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/read-only-map.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/read-only-map.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/read-only-map.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/stereo-panner-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/stereo-panner-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/stereo-panner-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/stereo-panner-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/stereo-panner-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/stereo-panner-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/wave-shaper-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/wave-shaper-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/wave-shaper-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/wave-shaper-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/wave-shaper-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/wave-shaper-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/worklet-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/worklet-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/interfaces/worklet-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/module.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/module.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/module.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/read-only-map.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/read-only-map.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/read-only-map.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/ usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/abort-error-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/abort-error-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/abort-error-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/active-audio-worklet-node-inputs-store.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/active-audio-worklet-node-inputs-store.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/active-audio-worklet-node-inputs-store.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/active-input-connection.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/active-input-connection.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/active-input-connection.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-active-input-connection-to-audio-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-active-input-connection-to-audio-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-active-input-connection-to-audio-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-active-input-connection-to-audio-node-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-active-input-connection-to-audio-node-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-active-input-connection-to-audio-node-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-audio-node-connections-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-audio-node-connections-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-audio-node-connections-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-audio-node-connections-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-audio-node-connections-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-audio-node-connections-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-audio-param-connections-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-audio-param-connections-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-audio-param-connections-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-audio-param-connections-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-audio-param-connections-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-audio-param-connections-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-audio-worklet-module-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-audio-worklet-module-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-audio-worklet-module-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-audio-worklet-module-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-audio-worklet-module-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-audio-worklet-module-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-connection-to-audio-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-connection-to-audio-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-connection-to-audio-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-connection-to-audio-node-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-connection-to-audio-node-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-connection-to-audio-node-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-passive-input-connection-to-audio-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-passive-input-connection-to-audio-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-passive-input-connection-to-audio-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-passive-input-connection-to-audio-node-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-passive-input-connection-to-audio-node-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-passive-input-connection-to-audio-node-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-silent-connection-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-silent-connection-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-silent-connection-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-silent-connection-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-silent-connection-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-silent-connection-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-unrendered-audio-worklet-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-unrendered-audio-worklet-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-unrendered-audio-worklet-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-unrendered-audio-worklet-node-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-unrendered-audio-worklet-node-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/add-unrendered-audio-worklet-node-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/analyser-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/analyser-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/analyser-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/analyser-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/analyser-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/analyser-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/analyser-node-renderer-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/analyser-node-renderer-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/analyser-node-renderer-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/analyser-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/analyser-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/analyser-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/any-audio-buffer.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/any-audio-buffer.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/any-audio-buffer.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/any-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/any-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/any-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-source-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-source-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-source-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-source-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-source-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-source-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-source-node-renderer-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-source-node-renderer-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-source-node-renderer-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-source-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-source-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-source-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-source-node-renderer.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-source-node-renderer.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-source-node-renderer.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-store.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-store.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-buffer-store.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-context-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-context-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-context-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-context-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-context-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-context-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-context-latency-category.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-context-latency-category.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-context-latency-category.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-context-state.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-context-state.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-context-state.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-destination-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-destination-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-destination-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-destination-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-destination-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-destination-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-destination-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-destination-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-destination-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-listener-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-listener-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-listener-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-listener-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-listener-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-listener-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-connections-store.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-connections-store.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-connections-store.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-connections.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-connections.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-connections.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-output-connection.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-output-connection.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-output-connection.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-renderer.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-renderer.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-renderer.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-store.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-store.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-store.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-tail-time-store.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-tail-time-store.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-node-tail-time-store.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-audio-node-store.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-audio-node-store.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-audio-node-store.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-connections-store.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-connections-store.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-connections-store.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-connections.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-connections.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-connections.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-map.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-map.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-map.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-output-connection.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-output-connection.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-output-connection.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-store.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-store.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-param-store.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-worklet-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-worklet-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-worklet-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-worklet-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-worklet-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-worklet-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-worklet-node-renderer-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-worklet-node-renderer-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-worklet-node-renderer-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-worklet-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-worklet-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/audio-worklet-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/backup-offline-audio-context-store.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/backup-offline-audio-context-store.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/backup-offline-audio-context-store.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/base-audio-context-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/base-audio-context-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/base-audio-context-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/base-audio-context-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/base-audio-context-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/base-audio-context-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/biquad-filter-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/biquad-filter-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/biquad-filter-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/biquad-filter-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/biquad-filter-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/biquad-filter-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/biquad-filter-node-renderer-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/biquad-filter-node-renderer-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/biquad-filter-node-renderer-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/biquad-filter-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/biquad-filter-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/biquad-filter-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/biquad-filter-type.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/biquad-filter-type.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/biquad-filter-type.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/cache-test-result-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/cache-test-result-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/cache-test-result-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/cache-test-result-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/cache-test-result-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/cache-test-result-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-count-mode.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-count-mode.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-count-mode.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-interpretation.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-interpretation.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-interpretation.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-merger-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-merger-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-merger-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-merger-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-merger-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-merger-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-merger-node-renderer-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-merger-node-renderer-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-merger-node-renderer-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-merger-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-merger-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-merger-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-splitter-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-splitter-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-splitter-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-splitter-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-splitter-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-splitter-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-splitter-node-renderer-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-splitter-node-renderer-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-splitter-node-renderer-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-splitter-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-splitter-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/channel-splitter-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/connect-audio-param-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/connect-audio-param-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/connect-audio-param-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/connect-audio-param-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/connect-audio-param-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/connect-audio-param-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/connect-multiple-outputs-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/connect-multiple-outputs-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/connect-multiple-outputs-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/connect-multiple-outputs-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/connect-multiple-outputs-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/connect-multiple-outputs-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/connect-native-audio-node-to-native-audio-node-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/connect-native-audio-node-to-native-audio-node-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/connect-native-audio-node-to-native-audio-node-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/connected-native-audio-buffer-source-node-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/connected-native-audio-buffer-source-node-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/connected-native-audio-buffer-source-node-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/connected-native-audio-buffer-source-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/connected-native-audio-buffer-source-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/connected-native-audio-buffer-source-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/constant-source-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/constant-source-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/constant-source-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/constant-source-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/constant-source-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/constant-source-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/constant-source-node-renderer-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/constant-source-node-renderer-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/constant-source-node-renderer-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/constant-source-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/constant-source-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/constant-source-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/constant-source-node-renderer.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/constant-source-node-renderer.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/constant-source-node-renderer.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/context-store.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/context-store.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/context-store.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/convert-number-to-unsigned-long-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/convert-number-to-unsigned-long-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/convert-number-to-unsigned-long-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/convert-number-to-unsigned-long-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/convert-number-to-unsigned-long-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/convert-number-to-unsigned-long-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/convolver-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/convolver-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/convolver-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/convolver-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/convolver-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/convolver-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/convolver-node-renderer-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/convolver-node-renderer-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/convolver-node-renderer-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/convolver-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/convolver-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/convolver-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/create-native-offline-audio-context-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/create-native-offline-audio-context-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/create-native-offline-audio-context-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/create-native-offline-audio-context-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/create-native-offline-audio-context-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/create-native-offline-audio-context-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/cycle-counters.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/cycle-counters.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/cycle-counters.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/data-clone-error-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/data-clone-error-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/data-clone-error-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/decode-audio-data-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/decode-audio-data-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/decode-audio-data-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/decode-audio-data-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/decode-audio-data-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/decode-audio-data-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/decode-error-callback.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/decode-error-callback.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/decode-error-callback.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/decode-success-callback.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/decode-success-callback.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/decode-success-callback.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/decrement-cycle-counter-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/decrement-cycle-counter-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/decrement-cycle-counter-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/decrement-cycle-counter-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/decrement-cycle-counter-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/decrement-cycle-counter-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delay-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delay-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delay-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delay-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delay-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delay-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delay-node-renderer-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delay-node-renderer-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delay-node-renderer-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delay-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delay-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delay-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delete-active-input-connection-to-audio-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delete-active-input-connection-to-audio-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delete-active-input-connection-to-audio-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delete-active-input-connection-to-audio-node-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delete-active-input-connection-to-audio-node-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delete-active-input-connection-to-audio-node-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delete-unrendered-audio-worklet-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delete-unrendered-audio-worklet-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delete-unrendered-audio-worklet-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delete-unrendered-audio-worklet-node-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delete-unrendered-audio-worklet-node-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/delete-unrendered-audio-worklet-node-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/detect-cycles-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/detect-cycles-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/detect-cycles-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/detect-cycles-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/detect-cycles-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/detect-cycles-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/disconnect-multiple-outputs-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/disconnect-multiple-outputs-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/disconnect-multiple-outputs-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/disconnect-multiple-outputs-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/disconnect-multiple-outputs-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/disconnect-multiple-outputs-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/disconnect-native-audio-node-from-native-audio-node-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/disconnect-native-audio-node-from-native-audio-node-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/disconnect-native-audio-node-from-native-audio-node-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/distance-model-type.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/distance-model-type.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/distance-model-type.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/dynamics-compressor-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/dynamics-compressor-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/dynamics-compressor-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/dynamics-compressor-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/dynamics-compressor-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/dynamics-compressor-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/dynamics-compressor-node-renderer-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/dynamics-compressor-node-renderer-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/dynamics-compressor-node-renderer-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/dynamics-compressor-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/dynamics-compressor-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/dynamics-compressor-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/encoding-error-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/encoding-error-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/encoding-error-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/error-event-handler.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/error-event-handler.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/error-event-handler.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/evaluate-audio-worklet-global-scope-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/evaluate-audio-worklet-global-scope-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/evaluate-audio-worklet-global-scope-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/evaluate-source-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/evaluate-source-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/evaluate-source-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/evaluate-source-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/evaluate-source-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/evaluate-source-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/event-handler.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/event-handler.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/event-handler.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/event-target-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/event-target-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/event-target-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/event-target-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/event-target-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/event-target-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/expose-current-frame-and-current-time-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/expose-current-frame-and-current-time-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/expose-current-frame-and-current-time-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/expose-current-frame-and-current-time-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/expose-current-frame-and-current-time-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/expose-current-frame-and-current-time-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/fetch-source-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/fetch-source-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/fetch-source-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/fetch-source-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/fetch-source-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/fetch-source-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/gain-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/gain-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/gain-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/gain-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/gain-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/gain-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/gain-node-renderer-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/gain-node-renderer-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/gain-node-renderer-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/gain-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/gain-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/gain-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-active-audio-worklet-node-inputs-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-active-audio-worklet-node-inputs-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-active-audio-worklet-node-inputs-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-active-audio-worklet-node-inputs-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-active-audio-worklet-node-inputs-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-active-audio-worklet-node-inputs-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-node-connections-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-node-connections-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-node-connections-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-node-renderer-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-node-renderer-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-node-renderer-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-node-tail-time-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-node-tail-time-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-node-tail-time-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-node-tail-time-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-node-tail-time-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-node-tail-time-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-param-connections-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-param-connections-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-param-connections-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-param-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-param-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-param-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-param-renderer-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-param-renderer-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-audio-param-renderer-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-backup-offline-audio-context-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-backup-offline-audio-context-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-backup-offline-audio-context-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-backup-offline-audio-context-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-backup-offline-audio-context-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-backup-offline-audio-context-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-event-listeners-of-audio-node-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-event-listeners-of-audio-node-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-event-listeners-of-audio-node-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-first-sample-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-first-sample-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-first-sample-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-native-audio-node-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-native-audio-node-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-native-audio-node-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-native-audio-param-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-native-audio-param-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-native-audio-param-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-native-context-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-native-context-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-native-context-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-native-context-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-native-context-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-native-context-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-or-create-backup-offline-audio-context-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-or-create-backup-offline-audio-context-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-or-create-backup-offline-audio-context-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-or-create-backup-offline-audio-context-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-or-create-backup-offline-audio-context-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-or-create-backup-offline-audio-context-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-unrendered-audio-worklet-nodes-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-unrendered-audio-worklet-nodes-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-unrendered-audio-worklet-nodes-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-unrendered-audio-worklet-nodes-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-unrendered-audio-worklet-nodes-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-unrendered-audio-worklet-nodes-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-value-for-key-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-value-for-key-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/get-value-for-key-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/iir-filter-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/iir-filter-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/iir-filter-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/iir-filter-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/iir-filter-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/iir-filter-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/iir-filter-node-renderer-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/iir-filter-node-renderer-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/iir-filter-node-renderer-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/iir-filter-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/iir-filter-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/iir-filter-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/increment-cycle-counter-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/increment-cycle-counter-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/increment-cycle-counter-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/increment-cycle-counter-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/increment-cycle-counter-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/increment-cycle-counter-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/increment-cycle-counter-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/increment-cycle-counter-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/increment-cycle-counter-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/index-size-error-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/index-size-error-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/index-size-error-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/index.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/index.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/index.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/insert-element-in-set-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/insert-element-in-set-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/insert-element-in-set-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/internal-state-event-listener.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/internal-state-event-listener.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/internal-state-event-listener.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/invalid-access-error-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/invalid-access-error-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/invalid-access-error-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/invalid-state-error-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/invalid-state-error-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/invalid-state-error-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-active-audio-node-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-active-audio-node-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-active-audio-node-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-audio-context-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-audio-context-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-audio-context-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-audio-context-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-audio-context-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-audio-context-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-audio-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-audio-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-audio-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-audio-node-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-audio-node-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-audio-node-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-audio-param-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-audio-param-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-audio-param-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-audio-param-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-audio-param-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-audio-param-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-offline-audio-context-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-offline-audio-context-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-offline-audio-context-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-offline-audio-context-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-offline-audio-context-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-any-offline-audio-context-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-dc-curve-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-dc-curve-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-dc-curve-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-audio-context-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-audio-context-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-audio-context-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-audio-context-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-audio-context-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-audio-context-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-audio-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-audio-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-audio-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-audio-node-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-audio-node-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-audio-node-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-audio-param-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-audio-param-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-audio-param-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-audio-param-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-audio-param-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-audio-param-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-context-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-context-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-context-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-context-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-context-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-context-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-offline-audio-context-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-offline-audio-context-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-offline-audio-context-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-offline-audio-context-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-offline-audio-context-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-native-offline-audio-context-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-part-of-a-cycle-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-part-of-a-cycle-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-part-of-a-cycle-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-passive-audio-node-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-passive-audio-node-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-passive-audio-node-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-secure-context-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-secure-context-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-secure-context-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-supported-promise-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-supported-promise-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/is-supported-promise-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-element-audio-source-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-element-audio-source-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-element-audio-source-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-element-audio-source-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-element-audio-source-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-element-audio-source-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-stream-audio-destination-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-stream-audio-destination-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-stream-audio-destination-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-stream-audio-destination-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-stream-audio-destination-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-stream-audio-destination-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-stream-audio-source-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-stream-audio-source-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-stream-audio-source-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-stream-audio-source-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-stream-audio-source-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-stream-audio-source-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-stream-track-audio-source-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-stream-track-audio-source-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-stream-track-audio-source-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-stream-track-audio-source-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-stream-track-audio-source-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/media-stream-track-audio-source-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/minimal-audio-context-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/minimal-audio-context-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/minimal-audio-context-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/minimal-audio-context-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/minimal-audio-context-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/minimal-audio-context-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/minimal-base-audio-context-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/minimal-base-audio-context-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/minimal-base-audio-context-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/minimal-base-audio-context-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/minimal-base-audio-context-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/minimal-base-audio-context-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/minimal-offline-audio-context-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/minimal-offline-audio-context-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/minimal-offline-audio-context-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/minimal-offline-audio-context-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/minimal-offline-audio-context-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/minimal-offline-audio-context-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/monitor-connections-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/monitor-connections-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/monitor-connections-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/monitor-connections-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/monitor-connections-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/monitor-connections-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-analyser-node-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-analyser-node-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-analyser-node-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-analyser-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-analyser-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-analyser-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-analyser-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-analyser-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-analyser-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-buffer-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-buffer-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-buffer-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-buffer-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-buffer-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-buffer-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-buffer-source-node-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-buffer-source-node-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-buffer-source-node-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-buffer-source-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-buffer-source-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-buffer-source-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-buffer-source-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-buffer-source-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-buffer-source-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-buffer.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-buffer.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-buffer.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-context-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-context-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-context-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-context-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-context-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-context-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-destination-node-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-destination-node-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-destination-node-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-destination-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-destination-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-destination-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-destination-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-destination-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-destination-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-listener.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-listener.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-listener.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-param-map.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-param-map.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-param-map.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-param.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-param.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-param.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node-faker-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node-faker-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node-faker-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node-faker-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node-faker-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node-faker-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node-options.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node-options.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node-options.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-audio-worklet.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-biquad-filter-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-biquad-filter-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-biquad-filter-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-biquad-filter-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-biquad-filter-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-biquad-filter-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-channel-merger-node-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-channel-merger-node-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-channel-merger-node-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-channel-merger-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-channel-merger-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-channel-merger-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-channel-merger-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-channel-merger-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-channel-merger-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-channel-splitter-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-channel-splitter-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-channel-splitter-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-channel-splitter-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-channel-splitter-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-channel-splitter-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-constant-source-node-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-constant-source-node-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-constant-source-node-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-constant-source-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-constant-source-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-constant-source-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-constant-source-node-faker-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-constant-source-node-faker-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-constant-source-node-faker-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-constant-source-node-faker-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-constant-source-node-faker-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-constant-source-node-faker-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-constant-source-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-constant-source-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-constant-source-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-convolver-node-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-convolver-node-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-convolver-node-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-convolver-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-convolver-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-convolver-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-convolver-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-convolver-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-convolver-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-delay-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-delay-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-delay-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-delay-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-delay-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-delay-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-dynamics-compressor-node-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-dynamics-compressor-node-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-dynamics-compressor-node-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-dynamics-compressor-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-dynamics-compressor-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-dynamics-compressor-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-dynamics-compressor-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-dynamics-compressor-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-dynamics-compressor-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-event-target.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-event-target.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-event-target.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-gain-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-gain-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-gain-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-gain-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-gain-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-gain-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-iir-filter-node-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-iir-filter-node-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-iir-filter-node-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-iir-filter-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-iir-filter-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-iir-filter-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-iir-filter-node-faker-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-iir-filter-node-faker-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-iir-filter-node-faker-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-iir-filter-node-faker-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-iir-filter-node-faker-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-iir-filter-node-faker-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-iir-filter-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-iir-filter-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-iir-filter-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-element-audio-source-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-element-audio-source-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-element-audio-source-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-element-audio-source-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-element-audio-source-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-element-audio-source-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-stream-audio-destination-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-stream-audio-destination-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-stream-audio-destination-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-stream-audio-destination-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-stream-audio-destination-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-stream-audio-destination-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-stream-audio-source-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-stream-audio-source-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-stream-audio-source-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-stream-audio-source-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-stream-audio-source-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-stream-audio-source-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-stream-track-audio-source-node-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-stream-track-audio-source-node-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-stream-track-audio-source-node-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-stream-track-audio-source-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-stream-track-audio-source-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-stream-track-audio-source-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-stream-track-audio-source-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-stream-track-audio-source-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-media-stream-track-audio-source-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-offline-audio-context-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-offline-audio-context-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-offline-audio-context-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-offline-audio-context-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-offline-audio-context-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-offline-audio-context-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-offline-audio-context.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-offline-audio-context.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-offline-audio-context.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-oscillator-node-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-oscillator-node-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-oscillator-node-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-oscillator-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-oscillator-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-oscillator-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-oscillator-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-oscillator-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-oscillator-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-panner-node-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-panner-node-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-panner-node-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-panner-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-panner-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-panner-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-panner-node-faker-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-panner-node-faker-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-panner-node-faker-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-panner-node-faker-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-panner-node-faker-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-panner-node-faker-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-panner-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-panner-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-panner-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-periodic-wave-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-periodic-wave-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-periodic-wave-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-periodic-wave-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-periodic-wave-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-periodic-wave-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-periodic-wave.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-periodic-wave.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-periodic-wave.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-script-processor-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-script-processor-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-script-processor-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-script-processor-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-script-processor-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-script-processor-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-stereo-panner-node-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-stereo-panner-node-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-stereo-panner-node-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-stereo-panner-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-stereo-panner-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-stereo-panner-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-stereo-panner-node-faker-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-stereo-panner-node-faker-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-stereo-panner-node-faker-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-stereo-panner-node-faker-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-stereo-panner-node-faker-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-stereo-panner-node-faker-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-stereo-panner-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-stereo-panner-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-stereo-panner-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-wave-shaper-node-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-wave-shaper-node-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-wave-shaper-node-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-wave-shaper-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-wave-shaper-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-wave-shaper-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-wave-shaper-node-faker-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-wave-shaper-node-faker-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-wave-shaper-node-faker-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-wave-shaper-node-faker-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-wave-shaper-node-faker-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-wave-shaper-node-faker-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-wave-shaper-node.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-wave-shaper-node.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/native-wave-shaper-node.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/not-supported-error-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/not-supported-error-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/not-supported-error-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/offline-audio-context-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/offline-audio-context-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/offline-audio-context-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/oscillator-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/oscillator-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/oscillator-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/oscillator-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/oscillator-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/oscillator-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/oscillator-node-renderer-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/oscillator-node-renderer-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/oscillator-node-renderer-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/oscillator-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/oscillator-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/oscillator-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/oscillator-node-renderer.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/oscillator-node-renderer.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/oscillator-node-renderer.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/oscillator-type.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/oscillator-type.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/oscillator-type.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/output-connection.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/output-connection.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/output-connection.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/over-sample-type.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/over-sample-type.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/over-sample-type.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/overwrite-accessors-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/overwrite-accessors-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/overwrite-accessors-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/panner-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/panner-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/panner-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/panner-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/panner-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/panner-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/panner-node-renderer-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/panner-node-renderer-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/panner-node-renderer-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/panner-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/panner-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/panner-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/panning-model-type.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/panning-model-type.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/panning-model-type.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/passive-audio-node-input-connection.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/passive-audio-node-input-connection.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/passive-audio-node-input-connection.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/passive-audio-param-input-connection.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/passive-audio-param-input-connection.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/passive-audio-param-input-connection.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/periodic-wave-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/periodic-wave-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/periodic-wave-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/periodic-wave-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/periodic-wave-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/periodic-wave-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/pick-element-from-set-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/pick-element-from-set-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/pick-element-from-set-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-automation-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-automation-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-automation-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-automation-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-automation-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-automation-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-inputs-of-audio-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-inputs-of-audio-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-inputs-of-audio-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-inputs-of-audio-node-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-inputs-of-audio-node-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-inputs-of-audio-node-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-inputs-of-audio-param-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-inputs-of-audio-param-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-inputs-of-audio-param-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-inputs-of-audio-param-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-inputs-of-audio-param-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-inputs-of-audio-param-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-native-offline-audio-context-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-native-offline-audio-context-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-native-offline-audio-context-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-native-offline-audio-context-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-native-offline-audio-context-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/render-native-offline-audio-context-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/sanitize-audio-worklet-node-options-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/sanitize-audio-worklet-node-options-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/sanitize-audio-worklet-node-options-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/sanitize-channel-splitter-options-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/sanitize-channel-splitter-options-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/sanitize-channel-splitter-options-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/sanitize-periodic-wave-options-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/sanitize-periodic-wave-options-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/sanitize-periodic-wave-options-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/set-active-audio-worklet-node-inputs-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/set-active-audio-worklet-node-inputs-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/set-active-audio-worklet-node-inputs-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/set-active-audio-worklet-node-inputs-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/set-active-audio-worklet-node-inputs-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/set-active-audio-worklet-node-inputs-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/set-audio-node-tail-time-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/set-audio-node-tail-time-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/set-audio-node-tail-time-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/set-audio-node-tail-time-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/set-audio-node-tail-time-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/set-audio-node-tail-time-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/set-value-at-time-until-possible-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/set-value-at-time-until-possible-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/set-value-at-time-until-possible-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/start-rendering-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/start-rendering-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/start-rendering-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/start-rendering-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/start-rendering-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/start-rendering-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/stereo-panner-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/stereo-panner-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/stereo-panner-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/stereo-panner-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/stereo-panner-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/stereo-panner-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/stereo-panner-node-renderer-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/stereo-panner-node-renderer-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/stereo-panner-node-renderer-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/stereo-panner-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/stereo-panner-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/stereo-panner-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-buffer-constructor-support-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-buffer-constructor-support-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-buffer-constructor-support-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-buffer-copy-channel-methods-subarray-support-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-buffer-copy-channel-methods-subarray-support-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-buffer-copy-channel-methods-subarray-support-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-context-close-method-support-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-context-close-method-support-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-context-close-method-support-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-context-decode-audio-data-method-type-error-support-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-context-decode-audio-data-method-type-error-support-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-context-decode-audio-data-method-type-error-support-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-context-options-support-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-context-options-support-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-context-options-support-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-node-connect-method-support-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-node-connect-method-support-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-node-connect-method-support-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-worklet-node-options-clonability-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-worklet-node-options-clonability-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-worklet-node-options-clonability-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-worklet-processor-no-outputs-support-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-worklet-processor-no-outputs-support-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-worklet-processor-no-outputs-support-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-worklet-processor-post-message-support-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-worklet-processor-post-message-support-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-audio-worklet-processor-post-message-support-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-channel-merger-node-channel-count-support-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-channel-merger-node-channel-count-support-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-channel-merger-node-channel-count-support-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-constant-source-node-accurate-scheduling-support-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-constant-source-node-accurate-scheduling-support-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-constant-source-node-accurate-scheduling-support-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-convolver-node-buffer-reassignability-support-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-convolver-node-buffer-reassignability-support-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-convolver-node-buffer-reassignability-support-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-convolver-node-channel-count-support-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-convolver-node-channel-count-support-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-convolver-node-channel-count-support-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-is-secure-context-support-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-is-secure-context-support-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-is-secure-context-support-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-media-stream-audio-source-node-media-stream-without-audio-track-support.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-media-stream-audio-source-node-media-stream-without-audio-track-support.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-media-stream-audio-source-node-media-stream-without-audio-track-support.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-offline-audio-context-current-time-support-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-offline-audio-context-current-time-support-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-offline-audio-context-current-time-support-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-stereo-panner-node-default-value-support-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-stereo-panner-node-default-value-support-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/test-stereo-panner-node-default-value-support-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/unknown-error-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/unknown-error-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/unknown-error-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/unrendered-audio-worklet-node-store.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/unrendered-audio-worklet-node-store.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/unrendered-audio-worklet-node-store.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/unrendered-audio-worklet-nodes.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/unrendered-audio-worklet-nodes.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/unrendered-audio-worklet-nodes.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wave-shaper-node-constructor-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wave-shaper-node-constructor-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wave-shaper-node-constructor-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wave-shaper-node-constructor.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wave-shaper-node-constructor.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wave-shaper-node-constructor.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wave-shaper-node-renderer-factory-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wave-shaper-node-renderer-factory-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wave-shaper-node-renderer-factory-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wave-shaper-node-renderer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wave-shaper-node-renderer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wave-shaper-node-renderer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/window-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/window-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/window-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/window.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/window.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/window.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-buffer-copy-channel-methods-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-buffer-copy-channel-methods-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-buffer-copy-channel-methods-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-buffer-copy-channel-methods-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-buffer-copy-channel-methods-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-buffer-copy-channel-methods-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-buffer-copy-channel-methods-out-of-bounds-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-buffer-copy-channel-methods-out-of-bounds-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-buffer-copy-channel-methods-out-of-bounds-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-buffer-copy-channel-methods-out-of-bounds-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-buffer-copy-channel-methods-out-of-bounds-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-buffer-copy-channel-methods-out-of-bounds-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-buffer-source-node-start-method-offset-clamping-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-buffer-source-node-start-method-offset-clamping-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-buffer-source-node-start-method-offset-clamping-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-buffer-source-node-stop-method-nullified-buffer-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-buffer-source-node-stop-method-nullified-buffer-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-buffer-source-node-stop-method-nullified-buffer-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-buffer-source-node-stop-method-nullified-buffer-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-buffer-source-node-stop-method-nullified-buffer-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-buffer-source-node-stop-method-nullified-buffer-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-scheduled-source-node-stop-method-consecutive-calls-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-scheduled-source-node-stop-method-consecutive-calls-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-audio-scheduled-source-node-stop-method-consecutive-calls-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-channel-merger-node-factory.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-channel-merger-node-factory.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-channel-merger-node-factory.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-channel-merger-node-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-channel-merger-node-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-channel-merger-node-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-event-listener-function.d.ts.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-event-listener-function.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es2019/types/wrap-event-listener-function.js.map usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es5/ usr/lib/midi2-workbench/node_modules/standardized-audio-context/build/es5/bundle.js usr/lib/midi2-workbench/node_modules/standardized-audio-context/LICENSE usr/lib/midi2-workbench/node_modules/standardized-audio-context/package.json usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/ usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/constants.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/ usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/abort-error.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/add-active-input-connection-to-audio-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/add-audio-node-connections.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/add-audio-param-connections.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/add-audio-worklet-module.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/add-connection-to-audio-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/add-passive-input-connection-to-audio-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/add-silent-connection.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/add-unrendered-audio-worklet-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/analyser-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/analyser-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/audio-buffer-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/audio-buffer-source-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/audio-buffer-source-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/audio-context-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/audio-destination-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/audio-destination-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/audio-listener-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/audio-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/audio-param-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/audio-param-renderer.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/audio-worklet-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/audio-worklet-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/base-audio-context-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/biquad-filter-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/biquad-filter-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/cache-test-result.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/channel-merger-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/channel-merger-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/channel-splitter-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/channel-splitter-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/connect-audio-param.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/connect-multiple-outputs.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/connected-native-audio-buffer-source-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/constant-source-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/constant-source-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/convert-number-to-unsigned-long.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/convolver-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/convolver-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/create-native-offline-audio-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/data-clone-error.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/decode-audio-data.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/decrement-cycle-counter.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/delay-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/delay-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/delete-active-input-connection-to-audio-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/delete-unrendered-audio-worklet-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/detect-cycles.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/disconnect-multiple-outputs.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/dynamics-compressor-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/dynamics-compressor-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/encoding-error.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/evaluate-source.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/event-target-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/expose-current-frame-and-current-time.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/fetch-source.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/gain-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/gain-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/get-active-audio-worklet-node-inputs.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/get-audio-node-renderer.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/get-audio-node-tail-time.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/get-audio-param-renderer.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/get-backup-offline-audio-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/get-native-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/get-or-create-backup-offline-audio-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/get-unrendered-audio-worklet-nodes.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/iir-filter-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/iir-filter-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/increment-cycle-counter-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/index-size-error.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/invalid-access-error.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/invalid-state-error.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/is-any-audio-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/is-any-audio-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/is-any-audio-param.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/is-any-offline-audio-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/is-native-audio-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/is-native-audio-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/is-native-audio-param.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/is-native-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/is-native-offline-audio-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/is-secure-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/is-supported-promise.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/media-element-audio-source-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/media-stream-audio-destination-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/media-stream-audio-source-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/media-stream-track-audio-source-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/minimal-audio-context-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/minimal-base-audio-context-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/minimal-offline-audio-context-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/monitor-connections.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-analyser-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-audio-buffer-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-audio-buffer-source-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-audio-context-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-audio-destination-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-audio-worklet-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-audio-worklet-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-audio-worklet-node-faker-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-biquad-filter-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-channel-merger-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-channel-splitter-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-constant-source-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-constant-source-node-faker-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-convolver-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-delay-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-dynamics-compressor-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-gain-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-iir-filter-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-iir-filter-node-faker-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-media-element-audio-source-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-media-stream-audio-destination-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-media-stream-audio-source-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-media-stream-track-audio-source-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-offline-audio-context-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-oscillator-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-panner-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-panner-node-faker-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-periodic-wave-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-script-processor-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-stereo-panner-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-stereo-panner-node-faker-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-wave-shaper-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/native-wave-shaper-node-faker-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/not-supported-error.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/offline-audio-context-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/oscillator-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/oscillator-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/panner-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/panner-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/periodic-wave-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/render-automation.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/render-inputs-of-audio-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/render-inputs-of-audio-param.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/render-native-offline-audio-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/set-active-audio-worklet-node-inputs.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/set-audio-node-tail-time.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/start-rendering.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/stereo-panner-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/stereo-panner-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/test-audio-buffer-constructor-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/test-audio-buffer-copy-channel-methods-subarray-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/test-audio-context-close-method-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/test-audio-context-decode-audio-data-method-type-error-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/test-audio-context-options-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/test-audio-node-connect-method-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/test-audio-worklet-processor-no-outputs-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/test-audio-worklet-processor-post-message-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/test-channel-merger-node-channel-count-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/test-constant-source-node-accurate-scheduling-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/test-convolver-node-buffer-reassignability-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/test-convolver-node-channel-count-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/test-is-secure-context-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/test-media-stream-audio-source-node-media-stream-without-audio-track-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/test-offline-audio-context-current-time-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/test-stereo-panner-node-default-value-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/unknown-error.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/wave-shaper-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/wave-shaper-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/window.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/wrap-audio-buffer-copy-channel-methods-out-of-bounds.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/wrap-audio-buffer-copy-channel-methods.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/wrap-audio-buffer-source-node-stop-method-nullified-buffer.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/factories/wrap-channel-merger-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/globals.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/guards/ usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/guards/audio-buffer-source-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/guards/audio-node-output-connection.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/guards/audio-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/guards/audio-worklet-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/guards/biquad-filter-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/guards/constant-source-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/guards/delay-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/guards/gain-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/guards/native-audio-node-faker.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/guards/native-audio-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/guards/oscillator-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/guards/stereo-panner-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/ usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/add-active-input-connection-to-audio-param.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/add-passive-input-connection-to-audio-param.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/assign-native-audio-node-audio-param-value.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/assign-native-audio-node-option.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/assign-native-audio-node-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/clone-audio-worklet-node-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/compute-buffer-size.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/connect-native-audio-node-to-native-audio-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/copy-from-channel.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/copy-to-channel.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/create-audio-worklet-processor-promise.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/create-audio-worklet-processor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/create-nested-arrays.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/deactivate-active-audio-node-input-connections.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/deactivate-audio-graph.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/delete-active-input-connection-to-audio-param.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/delete-active-input-connection.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/delete-event-listeners-of-audio-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/delete-passive-input-connection-to-audio-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/delete-passive-input-connection-to-audio-param.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/detach-array-buffer.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/disconnect-native-audio-node-from-native-audio-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/filter-buffer.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/get-audio-node-connections.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/get-audio-param-connections.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/get-audio-worklet-processor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/get-event-listeners-of-audio-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/get-first-sample.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/get-native-audio-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/get-native-audio-param.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/get-value-for-key.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/insert-element-in-set.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/intercept-connections.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/is-active-audio-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/is-constructible.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/is-dc-curve.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/is-owned-by-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/is-part-of-a-cycle.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/is-passive-audio-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/is-valid-latency-hint.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/overwrite-accessors.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/pick-element-from-set.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/sanitize-audio-worklet-node-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/sanitize-channel-splitter-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/sanitize-periodic-wave-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/set-internal-state-to-active.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/set-internal-state-to-passive-when-necessary.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/set-internal-state-to-passive.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/set-value-at-time-until-possible.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/split-import-statements.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/test-analyser-node-get-float-time-domain-data-method-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/test-audio-buffer-copy-channel-methods-out-of-bounds-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/test-audio-buffer-source-node-start-method-consecutive-calls-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/test-audio-buffer-source-node-start-method-offset-clamping-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/test-audio-buffer-source-node-stop-method-nullified-buffer-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/test-audio-node-disconnect-method-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/test-audio-scheduled-source-node-start-method-negative-parameters-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/test-audio-scheduled-source-node-stop-method-consecutive-calls-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/test-audio-scheduled-source-node-stop-method-negative-parameters-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/test-audio-worklet-node-options-clonability.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/test-clonability-of-audio-worklet-node-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/test-dom-exception-constructor-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/test-promise-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/test-transferables-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/visit-each-audio-node-once.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/wrap-analyser-node-get-float-time-domain-data-method.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/wrap-audio-buffer-get-channel-data-method.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/wrap-audio-buffer-source-node-start-method-consecutive-calls.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/wrap-audio-buffer-source-node-start-method-offset-clamping.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/wrap-audio-node-disconnect-method.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/wrap-audio-scheduled-source-node-start-method-negative-parameters.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/wrap-audio-scheduled-source-node-stop-method-consecutive-calls.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/wrap-audio-scheduled-source-node-stop-method-negative-parameters.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/wrap-channel-splitter-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/wrap-event-listener.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/helpers/wrap-iir-filter-node-get-frequency-response-method.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/ usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/analyser-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/analyser-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-buffer-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-buffer-source-node-renderer.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-buffer-source-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-buffer-source-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-buffer.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-context-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-destination-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-listener.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-node-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-node-renderer.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-param-descriptor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-param-renderer.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-param.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-scheduled-source-node-event-map.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-scheduled-source-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-worklet-node-event-map.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-worklet-node-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-worklet-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-worklet-processor-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-worklet-processor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/audio-worklet.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/automation.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/base-audio-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/biquad-filter-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/biquad-filter-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/channel-merger-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/channel-splitter-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/common-audio-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/common-offline-audio-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/constant-source-node-renderer.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/constant-source-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/constant-source-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/convolver-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/convolver-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/delay-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/delay-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/dynamics-compressor-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/dynamics-compressor-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/event-target.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/gain-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/gain-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/iir-filter-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/iir-filter-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/index.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/media-element-audio-source-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/media-element-audio-source-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/media-stream-audio-destination-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/media-stream-audio-source-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/media-stream-audio-source-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/media-stream-track-audio-source-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/media-stream-track-audio-source-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/minimal-audio-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/minimal-base-audio-context-event-map.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/minimal-base-audio-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/minimal-offline-audio-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/native-audio-node-faker.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/native-audio-worklet-node-faker.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/native-constant-source-node-faker.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/native-convolver-node-faker.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/native-iir-filter-node-faker.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/native-panner-node-faker.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/native-stereo-panner-node-faker.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/native-wave-shaper-node-faker.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/offline-audio-completion-event.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/offline-audio-context-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/offline-audio-context-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/offline-audio-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/oscillator-node-renderer.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/oscillator-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/oscillator-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/panner-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/panner-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/periodic-wave-constraints.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/periodic-wave-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/periodic-wave.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/read-only-map.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/stereo-panner-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/stereo-panner-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/wave-shaper-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/wave-shaper-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/interfaces/worklet-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/module.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/read-only-map.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/tsconfig.json usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/ usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/abort-error-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/active-audio-worklet-node-inputs-store.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/active-input-connection.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/add-active-input-connection-to-audio-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/add-active-input-connection-to-audio-node-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/add-audio-node-connections-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/add-audio-node-connections-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/add-audio-param-connections-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/add-audio-param-connections-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/add-audio-worklet-module-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/add-audio-worklet-module-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/add-connection-to-audio-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/add-connection-to-audio-node-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/add-passive-input-connection-to-audio-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/add-passive-input-connection-to-audio-node-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/add-silent-connection-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/add-silent-connection-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/add-unrendered-audio-worklet-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/add-unrendered-audio-worklet-node-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/analyser-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/analyser-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/analyser-node-renderer-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/analyser-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/any-audio-buffer.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/any-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-buffer-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-buffer-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-buffer-source-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-buffer-source-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-buffer-source-node-renderer-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-buffer-source-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-buffer-source-node-renderer.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-buffer-store.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-context-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-context-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-context-latency-category.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-context-state.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-destination-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-destination-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-destination-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-listener-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-listener-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-node-connections-store.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-node-connections.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-node-output-connection.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-node-renderer.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-node-store.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-node-tail-time-store.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-param-audio-node-store.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-param-connections-store.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-param-connections.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-param-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-param-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-param-map.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-param-output-connection.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-param-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-param-store.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-worklet-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-worklet-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-worklet-node-renderer-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/audio-worklet-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/backup-offline-audio-context-store.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/base-audio-context-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/base-audio-context-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/biquad-filter-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/biquad-filter-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/biquad-filter-node-renderer-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/biquad-filter-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/biquad-filter-type.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/cache-test-result-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/cache-test-result-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/channel-count-mode.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/channel-interpretation.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/channel-merger-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/channel-merger-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/channel-merger-node-renderer-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/channel-merger-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/channel-splitter-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/channel-splitter-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/channel-splitter-node-renderer-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/channel-splitter-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/connect-audio-param-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/connect-audio-param-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/connect-multiple-outputs-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/connect-multiple-outputs-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/connect-native-audio-node-to-native-audio-node-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/connected-native-audio-buffer-source-node-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/connected-native-audio-buffer-source-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/constant-source-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/constant-source-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/constant-source-node-renderer-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/constant-source-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/constant-source-node-renderer.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/context-store.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/convert-number-to-unsigned-long-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/convert-number-to-unsigned-long-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/convolver-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/convolver-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/convolver-node-renderer-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/convolver-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/create-native-offline-audio-context-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/create-native-offline-audio-context-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/cycle-counters.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/data-clone-error-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/decode-audio-data-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/decode-audio-data-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/decode-error-callback.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/decode-success-callback.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/decrement-cycle-counter-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/decrement-cycle-counter-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/delay-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/delay-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/delay-node-renderer-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/delay-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/delete-active-input-connection-to-audio-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/delete-active-input-connection-to-audio-node-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/delete-unrendered-audio-worklet-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/delete-unrendered-audio-worklet-node-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/detect-cycles-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/detect-cycles-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/disconnect-multiple-outputs-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/disconnect-multiple-outputs-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/disconnect-native-audio-node-from-native-audio-node-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/distance-model-type.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/dynamics-compressor-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/dynamics-compressor-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/dynamics-compressor-node-renderer-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/dynamics-compressor-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/encoding-error-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/error-event-handler.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/evaluate-audio-worklet-global-scope-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/evaluate-source-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/evaluate-source-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/event-handler.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/event-target-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/event-target-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/expose-current-frame-and-current-time-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/expose-current-frame-and-current-time-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/fetch-source-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/fetch-source-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/gain-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/gain-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/gain-node-renderer-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/gain-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-active-audio-worklet-node-inputs-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-active-audio-worklet-node-inputs-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-audio-node-connections-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-audio-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-audio-node-renderer-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-audio-node-tail-time-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-audio-node-tail-time-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-audio-param-connections-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-audio-param-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-audio-param-renderer-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-backup-offline-audio-context-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-backup-offline-audio-context-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-event-listeners-of-audio-node-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-first-sample-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-native-audio-node-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-native-audio-param-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-native-context-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-native-context-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-or-create-backup-offline-audio-context-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-or-create-backup-offline-audio-context-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-unrendered-audio-worklet-nodes-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-unrendered-audio-worklet-nodes-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/get-value-for-key-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/iir-filter-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/iir-filter-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/iir-filter-node-renderer-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/iir-filter-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/increment-cycle-counter-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/increment-cycle-counter-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/increment-cycle-counter-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/index-size-error-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/index.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/insert-element-in-set-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/internal-state-event-listener.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/invalid-access-error-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/invalid-state-error-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-active-audio-node-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-any-audio-context-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-any-audio-context-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-any-audio-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-any-audio-node-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-any-audio-param-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-any-audio-param-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-any-offline-audio-context-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-any-offline-audio-context-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-dc-curve-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-native-audio-context-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-native-audio-context-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-native-audio-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-native-audio-node-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-native-audio-param-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-native-audio-param-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-native-context-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-native-context-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-native-offline-audio-context-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-native-offline-audio-context-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-part-of-a-cycle-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-passive-audio-node-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-secure-context-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/is-supported-promise-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/media-element-audio-source-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/media-element-audio-source-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/media-stream-audio-destination-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/media-stream-audio-destination-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/media-stream-audio-source-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/media-stream-audio-source-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/media-stream-track-audio-source-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/media-stream-track-audio-source-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/minimal-audio-context-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/minimal-audio-context-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/minimal-base-audio-context-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/minimal-base-audio-context-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/minimal-offline-audio-context-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/minimal-offline-audio-context-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/monitor-connections-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/monitor-connections-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-analyser-node-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-analyser-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-analyser-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-buffer-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-buffer-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-buffer-source-node-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-buffer-source-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-buffer-source-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-buffer.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-context-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-context-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-destination-node-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-destination-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-destination-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-listener.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-param-map.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-param.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-worklet-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-worklet-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-worklet-node-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-worklet-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-worklet-node-faker-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-worklet-node-faker-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-worklet-node-options.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-worklet-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-audio-worklet.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-biquad-filter-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-biquad-filter-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-channel-merger-node-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-channel-merger-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-channel-merger-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-channel-splitter-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-channel-splitter-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-constant-source-node-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-constant-source-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-constant-source-node-faker-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-constant-source-node-faker-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-constant-source-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-convolver-node-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-convolver-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-convolver-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-delay-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-delay-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-dynamics-compressor-node-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-dynamics-compressor-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-dynamics-compressor-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-event-target.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-gain-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-gain-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-iir-filter-node-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-iir-filter-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-iir-filter-node-faker-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-iir-filter-node-faker-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-iir-filter-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-media-element-audio-source-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-media-element-audio-source-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-media-stream-audio-destination-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-media-stream-audio-destination-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-media-stream-audio-source-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-media-stream-audio-source-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-media-stream-track-audio-source-node-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-media-stream-track-audio-source-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-media-stream-track-audio-source-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-offline-audio-context-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-offline-audio-context-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-offline-audio-context.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-oscillator-node-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-oscillator-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-oscillator-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-panner-node-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-panner-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-panner-node-faker-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-panner-node-faker-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-panner-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-periodic-wave-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-periodic-wave-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-periodic-wave.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-script-processor-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-script-processor-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-stereo-panner-node-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-stereo-panner-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-stereo-panner-node-faker-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-stereo-panner-node-faker-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-stereo-panner-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-wave-shaper-node-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-wave-shaper-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-wave-shaper-node-faker-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-wave-shaper-node-faker-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/native-wave-shaper-node.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/not-supported-error-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/offline-audio-context-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/oscillator-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/oscillator-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/oscillator-node-renderer-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/oscillator-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/oscillator-node-renderer.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/oscillator-type.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/output-connection.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/over-sample-type.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/overwrite-accessors-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/panner-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/panner-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/panner-node-renderer-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/panner-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/panning-model-type.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/passive-audio-node-input-connection.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/passive-audio-param-input-connection.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/periodic-wave-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/periodic-wave-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/pick-element-from-set-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/render-automation-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/render-automation-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/render-inputs-of-audio-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/render-inputs-of-audio-node-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/render-inputs-of-audio-param-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/render-inputs-of-audio-param-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/render-native-offline-audio-context-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/render-native-offline-audio-context-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/sanitize-audio-worklet-node-options-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/sanitize-channel-splitter-options-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/sanitize-periodic-wave-options-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/set-active-audio-worklet-node-inputs-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/set-active-audio-worklet-node-inputs-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/set-audio-node-tail-time-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/set-audio-node-tail-time-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/set-value-at-time-until-possible-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/start-rendering-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/start-rendering-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/stereo-panner-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/stereo-panner-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/stereo-panner-node-renderer-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/stereo-panner-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/test-audio-buffer-constructor-support-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/test-audio-buffer-copy-channel-methods-subarray-support-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/test-audio-context-close-method-support-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/test-audio-context-decode-audio-data-method-type-error-support-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/test-audio-context-options-support-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/test-audio-node-connect-method-support-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/test-audio-worklet-node-options-clonability-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/test-audio-worklet-processor-no-outputs-support-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/test-audio-worklet-processor-post-message-support-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/test-channel-merger-node-channel-count-support-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/test-constant-source-node-accurate-scheduling-support-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/test-convolver-node-buffer-reassignability-support-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/test-convolver-node-channel-count-support-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/test-is-secure-context-support-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/test-media-stream-audio-source-node-media-stream-without-audio-track-support.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/test-offline-audio-context-current-time-support-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/test-stereo-panner-node-default-value-support-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/unknown-error-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/unrendered-audio-worklet-node-store.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/unrendered-audio-worklet-nodes.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/wave-shaper-node-constructor-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/wave-shaper-node-constructor.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/wave-shaper-node-renderer-factory-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/wave-shaper-node-renderer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/window-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/window.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/wrap-audio-buffer-copy-channel-methods-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/wrap-audio-buffer-copy-channel-methods-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/wrap-audio-buffer-copy-channel-methods-out-of-bounds-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/wrap-audio-buffer-copy-channel-methods-out-of-bounds-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/wrap-audio-buffer-source-node-start-method-offset-clamping-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/wrap-audio-buffer-source-node-stop-method-nullified-buffer-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/wrap-audio-buffer-source-node-stop-method-nullified-buffer-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/wrap-audio-scheduled-source-node-stop-method-consecutive-calls-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/wrap-channel-merger-node-factory.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/wrap-channel-merger-node-function.ts usr/lib/midi2-workbench/node_modules/standardized-audio-context/src/types/wrap-event-listener-function.ts usr/lib/midi2-workbench/node_modules/string.prototype.repeat/ usr/lib/midi2-workbench/node_modules/string.prototype.repeat/LICENSE-MIT.txt usr/lib/midi2-workbench/node_modules/string.prototype.repeat/package.json usr/lib/midi2-workbench/node_modules/string.prototype.repeat/repeat.js usr/lib/midi2-workbench/node_modules/tone/ usr/lib/midi2-workbench/node_modules/tone/build/ usr/lib/midi2-workbench/node_modules/tone/build/esm/ usr/lib/midi2-workbench/node_modules/tone/build/esm/classes.js usr/lib/midi2-workbench/node_modules/tone/build/esm/classes.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/ usr/lib/midi2-workbench/node_modules/tone/build/esm/component/analysis/ usr/lib/midi2-workbench/node_modules/tone/build/esm/component/analysis/Analyser.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/analysis/Analyser.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/analysis/DCMeter.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/analysis/DCMeter.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/analysis/FFT.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/analysis/FFT.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/analysis/Follower.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/analysis/Follower.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/analysis/Meter.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/analysis/Meter.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/analysis/MeterBase.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/analysis/MeterBase.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/analysis/Waveform.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/analysis/Waveform.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/ usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/Channel.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/Channel.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/CrossFade.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/CrossFade.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/Merge.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/Merge.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/MidSideMerge.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/MidSideMerge.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/MidSideSplit.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/MidSideSplit.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/Mono.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/Mono.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/MultibandSplit.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/MultibandSplit.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/Panner.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/Panner.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/Panner3D.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/Panner3D.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/PanVol.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/PanVol.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/Recorder.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/Recorder.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/Solo.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/Solo.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/Split.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/Split.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/Volume.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/channel/Volume.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/dynamics/ usr/lib/midi2-workbench/node_modules/tone/build/esm/component/dynamics/Compressor.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/dynamics/Compressor.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/dynamics/Gate.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/dynamics/Gate.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/dynamics/Limiter.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/dynamics/Limiter.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/dynamics/MidSideCompressor.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/dynamics/MidSideCompressor.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/dynamics/MultibandCompressor.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/dynamics/MultibandCompressor.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/envelope/ usr/lib/midi2-workbench/node_modules/tone/build/esm/component/envelope/AmplitudeEnvelope.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/envelope/AmplitudeEnvelope.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/envelope/Envelope.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/envelope/Envelope.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/envelope/FrequencyEnvelope.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/envelope/FrequencyEnvelope.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/filter/ usr/lib/midi2-workbench/node_modules/tone/build/esm/component/filter/BiquadFilter.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/filter/BiquadFilter.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/filter/Convolver.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/filter/Convolver.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/filter/EQ3.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/filter/EQ3.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/filter/FeedbackCombFilter.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/filter/FeedbackCombFilter.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/filter/FeedbackCombFilter.worklet.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/filter/FeedbackCombFilter.worklet.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/filter/Filter.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/filter/Filter.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/filter/LowpassCombFilter.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/filter/LowpassCombFilter.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/filter/OnePoleFilter.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/filter/OnePoleFilter.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/filter/PhaseShiftAllpass.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/filter/PhaseShiftAllpass.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/component/index.js usr/lib/midi2-workbench/node_modules/tone/build/esm/component/index.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/ usr/lib/midi2-workbench/node_modules/tone/build/esm/core/clock/ usr/lib/midi2-workbench/node_modules/tone/build/esm/core/clock/Clock.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/clock/Clock.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/clock/Ticker.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/clock/Ticker.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/clock/TickParam.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/clock/TickParam.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/clock/TickSignal.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/clock/TickSignal.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/clock/TickSource.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/clock/TickSource.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/clock/Transport.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/clock/Transport.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/clock/TransportEvent.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/clock/TransportEvent.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/clock/TransportRepeatEvent.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/clock/TransportRepeatEvent.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/ usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/AbstractParam.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/AbstractParam.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/AudioContext.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/AudioContext.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/BaseContext.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/BaseContext.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/Context.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/Context.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/ContextInitialization.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/ContextInitialization.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/Delay.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/Delay.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/Destination.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/Destination.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/DummyContext.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/DummyContext.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/Gain.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/Gain.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/Listener.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/Listener.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/Offline.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/Offline.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/OfflineContext.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/OfflineContext.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/Param.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/Param.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/ToneAudioBuffer.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/ToneAudioBuffer.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/ToneAudioBuffers.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/ToneAudioBuffers.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/ToneAudioNode.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/ToneAudioNode.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/ToneWithContext.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/context/ToneWithContext.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/Global.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/Global.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/index.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/index.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/Tone.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/Tone.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/type/ usr/lib/midi2-workbench/node_modules/tone/build/esm/core/type/Conversions.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/type/Conversions.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/type/Frequency.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/type/Frequency.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/type/Midi.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/type/Midi.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/type/NoteUnits.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/type/NoteUnits.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/type/Ticks.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/type/Ticks.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/type/Time.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/type/Time.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/type/TimeBase.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/type/TimeBase.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/type/TransportTime.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/type/TransportTime.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/type/Units.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/type/Units.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/ usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/AdvancedTypeCheck.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/AdvancedTypeCheck.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/Debug.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/Debug.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/Decorator.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/Decorator.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/Defaults.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/Defaults.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/Draw.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/Draw.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/Emitter.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/Emitter.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/Interface.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/Interface.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/IntervalTimeline.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/IntervalTimeline.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/Math.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/Math.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/StateTimeline.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/StateTimeline.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/Timeline.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/Timeline.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/TimelineValue.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/TimelineValue.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/TypeCheck.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/util/TypeCheck.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/worklet/ usr/lib/midi2-workbench/node_modules/tone/build/esm/core/worklet/DelayLine.worklet.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/worklet/DelayLine.worklet.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/worklet/SingleIOProcessor.worklet.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/worklet/SingleIOProcessor.worklet.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/worklet/ToneAudioWorklet.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/worklet/ToneAudioWorklet.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/worklet/ToneAudioWorkletProcessor.worklet.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/worklet/ToneAudioWorkletProcessor.worklet.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/core/worklet/WorkletGlobalScope.js usr/lib/midi2-workbench/node_modules/tone/build/esm/core/worklet/WorkletGlobalScope.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/ usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/AutoFilter.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/AutoFilter.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/AutoPanner.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/AutoPanner.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/AutoWah.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/AutoWah.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/BitCrusher.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/BitCrusher.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/BitCrusher.worklet.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/BitCrusher.worklet.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/Chebyshev.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/Chebyshev.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/Chorus.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/Chorus.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/Distortion.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/Distortion.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/Effect.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/Effect.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/FeedbackDelay.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/FeedbackDelay.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/FeedbackEffect.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/FeedbackEffect.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/Freeverb.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/Freeverb.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/FrequencyShifter.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/FrequencyShifter.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/index.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/index.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/JCReverb.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/JCReverb.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/LFOEffect.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/LFOEffect.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/MidSideEffect.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/MidSideEffect.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/Phaser.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/Phaser.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/PingPongDelay.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/PingPongDelay.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/PitchShift.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/PitchShift.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/Reverb.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/Reverb.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/StereoEffect.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/StereoEffect.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/StereoFeedbackEffect.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/StereoFeedbackEffect.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/StereoWidener.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/StereoWidener.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/StereoXFeedbackEffect.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/StereoXFeedbackEffect.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/Tremolo.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/Tremolo.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/Vibrato.js usr/lib/midi2-workbench/node_modules/tone/build/esm/effect/Vibrato.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/event/ usr/lib/midi2-workbench/node_modules/tone/build/esm/event/index.js usr/lib/midi2-workbench/node_modules/tone/build/esm/event/index.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/event/Loop.js usr/lib/midi2-workbench/node_modules/tone/build/esm/event/Loop.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/event/Part.js usr/lib/midi2-workbench/node_modules/tone/build/esm/event/Part.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/event/Pattern.js usr/lib/midi2-workbench/node_modules/tone/build/esm/event/Pattern.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/event/PatternGenerator.js usr/lib/midi2-workbench/node_modules/tone/build/esm/event/PatternGenerator.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/event/Sequence.js usr/lib/midi2-workbench/node_modules/tone/build/esm/event/Sequence.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/event/ToneEvent.js usr/lib/midi2-workbench/node_modules/tone/build/esm/event/ToneEvent.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/fromContext.js usr/lib/midi2-workbench/node_modules/tone/build/esm/fromContext.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/index.js usr/lib/midi2-workbench/node_modules/tone/build/esm/index.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/ usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/AMSynth.js usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/AMSynth.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/DuoSynth.js usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/DuoSynth.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/FMSynth.js usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/FMSynth.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/index.js usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/index.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/Instrument.js usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/Instrument.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/MembraneSynth.js usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/MembraneSynth.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/MetalSynth.js usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/MetalSynth.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/ModulationSynth.js usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/ModulationSynth.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/Monophonic.js usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/Monophonic.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/MonoSynth.js usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/MonoSynth.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/NoiseSynth.js usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/NoiseSynth.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/PluckSynth.js usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/PluckSynth.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/PolySynth.js usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/PolySynth.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/Sampler.js usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/Sampler.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/Synth.js usr/lib/midi2-workbench/node_modules/tone/build/esm/instrument/Synth.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/ usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/Abs.js usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/Abs.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/Add.js usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/Add.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/AudioToGain.js usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/AudioToGain.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/GainToAudio.js usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/GainToAudio.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/GreaterThan.js usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/GreaterThan.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/GreaterThanZero.js usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/GreaterThanZero.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/index.js usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/index.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/Multiply.js usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/Multiply.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/Negate.js usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/Negate.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/Pow.js usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/Pow.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/Scale.js usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/Scale.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/ScaleExp.js usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/ScaleExp.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/Signal.js usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/Signal.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/SignalOperator.js usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/SignalOperator.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/Subtract.js usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/Subtract.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/SyncedSignal.js usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/SyncedSignal.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/ToneConstantSource.js usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/ToneConstantSource.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/WaveShaper.js usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/WaveShaper.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/Zero.js usr/lib/midi2-workbench/node_modules/tone/build/esm/signal/Zero.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/source/ usr/lib/midi2-workbench/node_modules/tone/build/esm/source/buffer/ usr/lib/midi2-workbench/node_modules/tone/build/esm/source/buffer/GrainPlayer.js usr/lib/midi2-workbench/node_modules/tone/build/esm/source/buffer/GrainPlayer.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/source/buffer/Player.js usr/lib/midi2-workbench/node_modules/tone/build/esm/source/buffer/Player.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/source/buffer/Players.js usr/lib/midi2-workbench/node_modules/tone/build/esm/source/buffer/Players.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/source/buffer/ToneBufferSource.js usr/lib/midi2-workbench/node_modules/tone/build/esm/source/buffer/ToneBufferSource.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/source/index.js usr/lib/midi2-workbench/node_modules/tone/build/esm/source/index.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/source/Noise.js usr/lib/midi2-workbench/node_modules/tone/build/esm/source/Noise.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/source/OneShotSource.js usr/lib/midi2-workbench/node_modules/tone/build/esm/source/OneShotSource.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/source/oscillator/ usr/lib/midi2-workbench/node_modules/tone/build/esm/source/oscillator/AMOscillator.js usr/lib/midi2-workbench/node_modules/tone/build/esm/source/oscillator/AMOscillator.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/source/oscillator/FatOscillator.js usr/lib/midi2-workbench/node_modules/tone/build/esm/source/oscillator/FatOscillator.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/source/oscillator/FMOscillator.js usr/lib/midi2-workbench/node_modules/tone/build/esm/source/oscillator/FMOscillator.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/source/oscillator/LFO.js usr/lib/midi2-workbench/node_modules/tone/build/esm/source/oscillator/LFO.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/source/oscillator/OmniOscillator.js usr/lib/midi2-workbench/node_modules/tone/build/esm/source/oscillator/OmniOscillator.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/source/oscillator/Oscillator.js usr/lib/midi2-workbench/node_modules/tone/build/esm/source/oscillator/Oscillator.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/source/oscillator/OscillatorInterface.js usr/lib/midi2-workbench/node_modules/tone/build/esm/source/oscillator/OscillatorInterface.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/source/oscillator/PulseOscillator.js usr/lib/midi2-workbench/node_modules/tone/build/esm/source/oscillator/PulseOscillator.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/source/oscillator/PWMOscillator.js usr/lib/midi2-workbench/node_modules/tone/build/esm/source/oscillator/PWMOscillator.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/source/oscillator/ToneOscillatorNode.js usr/lib/midi2-workbench/node_modules/tone/build/esm/source/oscillator/ToneOscillatorNode.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/source/Source.js usr/lib/midi2-workbench/node_modules/tone/build/esm/source/Source.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/source/UserMedia.js usr/lib/midi2-workbench/node_modules/tone/build/esm/source/UserMedia.js.map usr/lib/midi2-workbench/node_modules/tone/build/esm/version.js usr/lib/midi2-workbench/node_modules/tone/build/esm/version.js.map usr/lib/midi2-workbench/node_modules/tone/build/Tone.js usr/lib/midi2-workbench/node_modules/tone/build/Tone.js.map usr/lib/midi2-workbench/node_modules/tone/docs/ usr/lib/midi2-workbench/node_modules/tone/docs/tone.json usr/lib/midi2-workbench/node_modules/tone/LICENSE.md usr/lib/midi2-workbench/node_modules/tone/package.json usr/lib/midi2-workbench/node_modules/tone/Tone/ usr/lib/midi2-workbench/node_modules/tone/Tone/classes.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/ usr/lib/midi2-workbench/node_modules/tone/Tone/component/analysis/ usr/lib/midi2-workbench/node_modules/tone/Tone/component/analysis/Analyser.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/analysis/Analyser.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/analysis/DCMeter.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/analysis/DCMeter.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/analysis/FFT.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/analysis/FFT.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/analysis/Follower.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/analysis/Follower.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/analysis/Meter.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/analysis/Meter.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/analysis/MeterBase.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/analysis/Waveform.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/analysis/Waveform.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/ usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/Channel.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/Channel.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/CrossFade.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/CrossFade.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/Merge.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/Merge.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/MidSideMerge.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/MidSideMerge.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/MidSideSplit.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/MidSideSplit.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/Mono.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/Mono.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/MultibandSplit.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/MultibandSplit.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/Panner.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/Panner.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/Panner3D.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/Panner3D.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/PanVol.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/PanVol.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/Recorder.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/Recorder.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/Solo.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/Solo.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/Split.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/Split.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/Volume.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/channel/Volume.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/dynamics/ usr/lib/midi2-workbench/node_modules/tone/Tone/component/dynamics/Compressor.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/dynamics/Compressor.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/dynamics/Gate.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/dynamics/Gate.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/dynamics/Limiter.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/dynamics/Limiter.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/dynamics/MidSideCompressor.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/dynamics/MidSideCompressor.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/dynamics/MultibandCompressor.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/dynamics/MultibandCompressor.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/envelope/ usr/lib/midi2-workbench/node_modules/tone/Tone/component/envelope/AmplitudeEnvelope.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/envelope/AmplitudeEnvelope.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/envelope/Envelope.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/envelope/Envelope.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/envelope/FrequencyEnvelope.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/envelope/FrequencyEnvelope.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/filter/ usr/lib/midi2-workbench/node_modules/tone/Tone/component/filter/BiquadFilter.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/filter/BiquadFilter.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/filter/Convolver.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/filter/Convolver.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/filter/EQ3.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/filter/EQ3.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/filter/FeedbackCombFilter.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/filter/FeedbackCombFilter.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/filter/FeedbackCombFilter.worklet.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/filter/Filter.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/filter/Filter.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/filter/LowpassCombFilter.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/filter/LowpassCombFilter.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/filter/OnePoleFilter.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/filter/OnePoleFilter.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/filter/PhaseShiftAllpass.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/filter/PhaseShiftAllpass.ts usr/lib/midi2-workbench/node_modules/tone/Tone/component/index.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/ usr/lib/midi2-workbench/node_modules/tone/Tone/core/clock/ usr/lib/midi2-workbench/node_modules/tone/Tone/core/clock/Clock.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/clock/Clock.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/clock/Ticker.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/clock/Ticker.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/clock/TickParam.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/clock/TickParam.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/clock/TickSignal.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/clock/TickSignal.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/clock/TickSource.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/clock/TickSource.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/clock/Transport.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/clock/Transport.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/clock/TransportEvent.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/clock/TransportEvent.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/clock/TransportRepeatEvent.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/clock/TransportRepeatEvent.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/ usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/AbstractParam.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/AudioContext.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/BaseContext.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/Context.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/Context.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/ContextInitialization.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/Delay.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/Delay.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/Destination.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/Destination.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/DummyContext.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/DummyContext.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/Gain.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/Gain.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/Listener.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/Listener.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/Offline.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/Offline.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/OfflineContext.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/OfflineContext.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/Param.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/Param.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/ToneAudioBuffer.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/ToneAudioBuffer.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/ToneAudioBuffers.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/ToneAudioBuffers.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/ToneAudioNode.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/ToneAudioNode.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/context/ToneWithContext.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/Global.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/index.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/Tone.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/type/ usr/lib/midi2-workbench/node_modules/tone/Tone/core/type/Conversions.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/type/Conversions.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/type/Frequency.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/type/Frequency.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/type/Midi.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/type/Midi.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/type/NoteUnits.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/type/Ticks.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/type/Ticks.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/type/Time.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/type/Time.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/type/TimeBase.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/type/TransportTime.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/type/TransportTime.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/type/Units.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/util/ usr/lib/midi2-workbench/node_modules/tone/Tone/core/util/AdvancedTypeCheck.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/util/Debug.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/util/Debug.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/util/Decorator.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/util/Defaults.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/util/Draw.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/util/Draw.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/util/Emitter.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/util/Emitter.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/util/Interface.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/util/IntervalTimeline.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/util/IntervalTimeline.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/util/Math.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/util/StateTimeline.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/util/StateTimeline.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/util/Timeline.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/util/Timeline.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/util/TimelineValue.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/util/TimelineValue.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/util/TypeCheck.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/worklet/ usr/lib/midi2-workbench/node_modules/tone/Tone/core/worklet/DelayLine.worklet.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/worklet/SingleIOProcessor.worklet.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/worklet/ToneAudioWorklet.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/worklet/ToneAudioWorkletProcessor.worklet.ts usr/lib/midi2-workbench/node_modules/tone/Tone/core/worklet/WorkletGlobalScope.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/ usr/lib/midi2-workbench/node_modules/tone/Tone/effect/AutoFilter.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/AutoFilter.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/AutoPanner.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/AutoPanner.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/AutoWah.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/AutoWah.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/BitCrusher.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/BitCrusher.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/BitCrusher.worklet.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/Chebyshev.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/Chebyshev.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/Chorus.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/Chorus.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/Distortion.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/Distortion.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/Effect.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/FeedbackDelay.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/FeedbackDelay.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/FeedbackEffect.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/Freeverb.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/Freeverb.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/FrequencyShifter.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/FrequencyShifter.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/index.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/JCReverb.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/JCReverb.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/LFOEffect.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/MidSideEffect.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/Phaser.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/Phaser.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/PingPongDelay.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/PingPongDelay.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/PitchShift.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/PitchShift.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/Reverb.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/Reverb.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/StereoEffect.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/StereoFeedbackEffect.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/StereoWidener.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/StereoWidener.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/StereoXFeedbackEffect.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/Tremolo.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/Tremolo.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/Vibrato.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/effect/Vibrato.ts usr/lib/midi2-workbench/node_modules/tone/Tone/event/ usr/lib/midi2-workbench/node_modules/tone/Tone/event/index.ts usr/lib/midi2-workbench/node_modules/tone/Tone/event/Loop.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/event/Loop.ts usr/lib/midi2-workbench/node_modules/tone/Tone/event/Part.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/event/Part.ts usr/lib/midi2-workbench/node_modules/tone/Tone/event/Pattern.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/event/Pattern.ts usr/lib/midi2-workbench/node_modules/tone/Tone/event/PatternGenerator.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/event/PatternGenerator.ts usr/lib/midi2-workbench/node_modules/tone/Tone/event/Sequence.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/event/Sequence.ts usr/lib/midi2-workbench/node_modules/tone/Tone/event/ToneEvent.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/event/ToneEvent.ts usr/lib/midi2-workbench/node_modules/tone/Tone/fromContext.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/fromContext.ts usr/lib/midi2-workbench/node_modules/tone/Tone/index.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/index.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/ usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/AMSynth.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/AMSynth.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/DuoSynth.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/DuoSynth.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/FMSynth.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/FMSynth.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/index.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/Instrument.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/MembraneSynth.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/MembraneSynth.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/MetalSynth.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/MetalSynth.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/ModulationSynth.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/Monophonic.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/MonoSynth.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/MonoSynth.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/NoiseSynth.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/NoiseSynth.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/PluckSynth.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/PluckSynth.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/PolySynth.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/PolySynth.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/Sampler.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/Sampler.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/Synth.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/instrument/Synth.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/ usr/lib/midi2-workbench/node_modules/tone/Tone/signal/Abs.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/Abs.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/Add.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/Add.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/AudioToGain.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/AudioToGain.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/GainToAudio.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/GainToAudio.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/GreaterThan.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/GreaterThan.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/GreaterThanZero.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/GreaterThanZero.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/index.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/Multiply.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/Multiply.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/Negate.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/Negate.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/Pow.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/Pow.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/Scale.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/Scale.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/ScaleExp.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/ScaleExp.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/Signal.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/Signal.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/SignalOperator.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/Subtract.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/Subtract.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/SyncedSignal.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/SyncedSignal.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/ToneConstantSource.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/ToneConstantSource.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/WaveShaper.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/WaveShaper.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/Zero.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/signal/Zero.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/ usr/lib/midi2-workbench/node_modules/tone/Tone/source/buffer/ usr/lib/midi2-workbench/node_modules/tone/Tone/source/buffer/GrainPlayer.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/buffer/GrainPlayer.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/buffer/Player.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/buffer/Player.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/buffer/Players.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/buffer/Players.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/buffer/ToneBufferSource.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/buffer/ToneBufferSource.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/index.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/Noise.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/Noise.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/OneShotSource.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/oscillator/ usr/lib/midi2-workbench/node_modules/tone/Tone/source/oscillator/AMOscillator.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/oscillator/AMOscillator.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/oscillator/FatOscillator.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/oscillator/FatOscillator.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/oscillator/FMOscillator.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/oscillator/FMOscillator.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/oscillator/LFO.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/oscillator/LFO.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/oscillator/OmniOscillator.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/oscillator/OmniOscillator.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/oscillator/Oscillator.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/oscillator/Oscillator.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/oscillator/OscillatorInterface.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/oscillator/PulseOscillator.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/oscillator/PulseOscillator.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/oscillator/PWMOscillator.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/oscillator/PWMOscillator.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/oscillator/ToneOscillatorNode.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/oscillator/ToneOscillatorNode.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/Source.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/Source.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/UserMedia.test.ts usr/lib/midi2-workbench/node_modules/tone/Tone/source/UserMedia.ts usr/lib/midi2-workbench/node_modules/tone/Tone/version.ts usr/lib/midi2-workbench/node_modules/tslib/ usr/lib/midi2-workbench/node_modules/tslib/CopyrightNotice.txt usr/lib/midi2-workbench/node_modules/tslib/LICENSE.txt usr/lib/midi2-workbench/node_modules/tslib/modules/ usr/lib/midi2-workbench/node_modules/tslib/modules/index.js usr/lib/midi2-workbench/node_modules/tslib/modules/package.json usr/lib/midi2-workbench/node_modules/tslib/package.json usr/lib/midi2-workbench/node_modules/tslib/SECURITY.md usr/lib/midi2-workbench/node_modules/tslib/tslib.es6.html usr/lib/midi2-workbench/node_modules/tslib/tslib.es6.js usr/lib/midi2-workbench/node_modules/tslib/tslib.es6.mjs usr/lib/midi2-workbench/node_modules/tslib/tslib.html usr/lib/midi2-workbench/node_modules/tslib/tslib.js usr/lib/midi2-workbench/node_modules/uri-js/ usr/lib/midi2-workbench/node_modules/uri-js/dist/ usr/lib/midi2-workbench/node_modules/uri-js/dist/es5/ usr/lib/midi2-workbench/node_modules/uri-js/dist/es5/uri.all.js usr/lib/midi2-workbench/node_modules/uri-js/dist/es5/uri.all.js.map usr/lib/midi2-workbench/node_modules/uri-js/dist/es5/uri.all.min.js usr/lib/midi2-workbench/node_modules/uri-js/dist/es5/uri.all.min.js.map usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/ usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/index.js usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/index.js.map usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/regexps-iri.js usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/regexps-iri.js.map usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/regexps-uri.js usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/regexps-uri.js.map usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/schemes/ usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/schemes/http.js usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/schemes/http.js.map usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/schemes/https.js usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/schemes/https.js.map usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/schemes/mailto.js usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/schemes/mailto.js.map usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/schemes/urn-uuid.js usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/schemes/urn-uuid.js.map usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/schemes/urn.js usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/schemes/urn.js.map usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/schemes/ws.js usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/schemes/ws.js.map usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/schemes/wss.js usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/schemes/wss.js.map usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/uri.js usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/uri.js.map usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/util.js usr/lib/midi2-workbench/node_modules/uri-js/dist/esnext/util.js.map usr/lib/midi2-workbench/node_modules/uri-js/LICENSE usr/lib/midi2-workbench/node_modules/uri-js/package.json usr/lib/midi2-workbench/node_modules/usb_midi_2/ usr/lib/midi2-workbench/node_modules/usb_midi_2/build/ usr/lib/midi2-workbench/node_modules/usb_midi_2/build/Release/ usr/lib/midi2-workbench/node_modules/usb_midi_2/build/Release/ALSA.node usr/lib/midi2-workbench/node_modules/usb_midi_2/build/Release/CoreMIDI.node usr/lib/midi2-workbench/node_modules/usb_midi_2/build/Release/CoreMIDI2.node usr/lib/midi2-workbench/node_modules/usb_midi_2/coreMIDI/ usr/lib/midi2-workbench/node_modules/usb_midi_2/coreMIDI/atomicops.h usr/lib/midi2-workbench/node_modules/usb_midi_2/coreMIDI/functions.h usr/lib/midi2-workbench/node_modules/usb_midi_2/coreMIDI/readerwritercircularbuffer.h usr/lib/midi2-workbench/node_modules/usb_midi_2/coreMIDI/readerwriterqueue.h usr/lib/midi2-workbench/node_modules/usb_midi_2/index.js usr/lib/midi2-workbench/node_modules/usb_midi_2/LICENSE usr/lib/midi2-workbench/node_modules/usb_midi_2/package.json usr/lib/midi2-workbench/node_modules/usb_midi_2/testalsa.js usr/lib/midi2-workbench/node_modules/usb_midi_2/testCoreMIDI2.js usr/lib/midi2-workbench/node_modules/usb_midi_2/usbMIDI2Devices.js usr/lib/midi2-workbench/node_modules/usb/ usr/lib/midi2-workbench/node_modules/usb/build/ usr/lib/midi2-workbench/node_modules/usb/build/Release/ usr/lib/midi2-workbench/node_modules/usb/build/Release/usb_bindings.node usr/lib/midi2-workbench/node_modules/usb/build/Release/usb.a usr/lib/midi2-workbench/node_modules/usb/dist/ usr/lib/midi2-workbench/node_modules/usb/dist/index.js usr/lib/midi2-workbench/node_modules/usb/dist/index.js.map usr/lib/midi2-workbench/node_modules/usb/dist/usb/ usr/lib/midi2-workbench/node_modules/usb/dist/usb/bindings.js usr/lib/midi2-workbench/node_modules/usb/dist/usb/bindings.js.map usr/lib/midi2-workbench/node_modules/usb/dist/usb/capability.js usr/lib/midi2-workbench/node_modules/usb/dist/usb/capability.js.map usr/lib/midi2-workbench/node_modules/usb/dist/usb/descriptors.js usr/lib/midi2-workbench/node_modules/usb/dist/usb/descriptors.js.map usr/lib/midi2-workbench/node_modules/usb/dist/usb/device.js usr/lib/midi2-workbench/node_modules/usb/dist/usb/device.js.map usr/lib/midi2-workbench/node_modules/usb/dist/usb/endpoint.js usr/lib/midi2-workbench/node_modules/usb/dist/usb/endpoint.js.map usr/lib/midi2-workbench/node_modules/usb/dist/usb/index.js usr/lib/midi2-workbench/node_modules/usb/dist/usb/index.js.map usr/lib/midi2-workbench/node_modules/usb/dist/usb/interface.js usr/lib/midi2-workbench/node_modules/usb/dist/usb/interface.js.map usr/lib/midi2-workbench/node_modules/usb/dist/webusb/ usr/lib/midi2-workbench/node_modules/usb/dist/webusb/index.js usr/lib/midi2-workbench/node_modules/usb/dist/webusb/index.js.map usr/lib/midi2-workbench/node_modules/usb/dist/webusb/webusb-device.js usr/lib/midi2-workbench/node_modules/usb/dist/webusb/webusb-device.js.map usr/lib/midi2-workbench/node_modules/usb/libusb_config/ usr/lib/midi2-workbench/node_modules/usb/libusb_config/config.h usr/lib/midi2-workbench/node_modules/usb/libusb.gypi usr/lib/midi2-workbench/node_modules/usb/libusb/ usr/lib/midi2-workbench/node_modules/usb/libusb/.private/ usr/lib/midi2-workbench/node_modules/usb/libusb/.private/appveyor_build.sh usr/lib/midi2-workbench/node_modules/usb/libusb/.private/bm.sh usr/lib/midi2-workbench/node_modules/usb/libusb/.private/ci-build.sh usr/lib/midi2-workbench/node_modules/usb/libusb/.private/ci-container-build.sh usr/lib/midi2-workbench/node_modules/usb/libusb/.private/post-rewrite.sh usr/lib/midi2-workbench/node_modules/usb/libusb/.private/pre-commit.sh usr/lib/midi2-workbench/node_modules/usb/libusb/.private/README.txt usr/lib/midi2-workbench/node_modules/usb/libusb/.private/wbs.txt usr/lib/midi2-workbench/node_modules/usb/libusb/android/ usr/lib/midi2-workbench/node_modules/usb/libusb/android/config.h usr/lib/midi2-workbench/node_modules/usb/libusb/android/examples/ usr/lib/midi2-workbench/node_modules/usb/libusb/android/examples/unrooted_android.c usr/lib/midi2-workbench/node_modules/usb/libusb/android/examples/unrooted_android.h usr/lib/midi2-workbench/node_modules/usb/libusb/android/jni/ usr/lib/midi2-workbench/node_modules/usb/libusb/android/jni/Android.mk usr/lib/midi2-workbench/node_modules/usb/libusb/android/jni/Application.mk usr/lib/midi2-workbench/node_modules/usb/libusb/android/jni/examples.mk usr/lib/midi2-workbench/node_modules/usb/libusb/android/jni/libusb.mk usr/lib/midi2-workbench/node_modules/usb/libusb/android/jni/tests.mk usr/lib/midi2-workbench/node_modules/usb/libusb/android/README usr/lib/midi2-workbench/node_modules/usb/libusb/AUTHORS usr/lib/midi2-workbench/node_modules/usb/libusb/autogen.sh usr/lib/midi2-workbench/node_modules/usb/libusb/bootstrap.sh usr/lib/midi2-workbench/node_modules/usb/libusb/configure.ac usr/lib/midi2-workbench/node_modules/usb/libusb/COPYING usr/lib/midi2-workbench/node_modules/usb/libusb/doc/ usr/lib/midi2-workbench/node_modules/usb/libusb/doc/doxygen.cfg.in usr/lib/midi2-workbench/node_modules/usb/libusb/doc/libusb.png usr/lib/midi2-workbench/node_modules/usb/libusb/doc/Makefile.in usr/lib/midi2-workbench/node_modules/usb/libusb/examples/ usr/lib/midi2-workbench/node_modules/usb/libusb/examples/dpfp.c usr/lib/midi2-workbench/node_modules/usb/libusb/examples/ezusb.c usr/lib/midi2-workbench/node_modules/usb/libusb/examples/ezusb.h usr/lib/midi2-workbench/node_modules/usb/libusb/examples/fxload.c usr/lib/midi2-workbench/node_modules/usb/libusb/examples/hotplugtest.c usr/lib/midi2-workbench/node_modules/usb/libusb/examples/listdevs.c usr/lib/midi2-workbench/node_modules/usb/libusb/examples/Makefile.am usr/lib/midi2-workbench/node_modules/usb/libusb/examples/sam3u_benchmark.c usr/lib/midi2-workbench/node_modules/usb/libusb/examples/testlibusb.c usr/lib/midi2-workbench/node_modules/usb/libusb/examples/xusb.c usr/lib/midi2-workbench/node_modules/usb/libusb/INSTALL_WIN.txt usr/lib/midi2-workbench/node_modules/usb/libusb/libusb-1.0.pc.in usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/ usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/core.c usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/descriptor.c usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/hotplug.c usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/io.c usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/libusb-1.0.def usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/libusb-1.0.rc usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/libusb.h usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/libusbi.h usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/Makefile.am usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/Makefile.am.extra usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/ usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/darwin_usb.c usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/darwin_usb.h usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/events_posix.c usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/events_posix.h usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/events_windows.c usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/events_windows.h usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/haiku_pollfs.cpp usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/haiku_usb_backend.cpp usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/haiku_usb_raw.cpp usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/haiku_usb_raw.h usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/haiku_usb.h usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/linux_netlink.c usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/linux_udev.c usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/linux_usbfs.c usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/linux_usbfs.h usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/netbsd_usb.c usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/null_usb.c usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/openbsd_usb.c usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/sunos_usb.c usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/sunos_usb.h usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/threads_posix.c usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/threads_posix.h usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/threads_windows.c usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/threads_windows.h usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/windows_common.c usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/windows_common.h usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/windows_usbdk.c usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/windows_usbdk.h usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/windows_winusb.c usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/os/windows_winusb.h usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/strerror.c usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/sync.c usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/version_nano.h usr/lib/midi2-workbench/node_modules/usb/libusb/libusb/version.h usr/lib/midi2-workbench/node_modules/usb/libusb/Makefile.am usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/ usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/config.h usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/dpfp_2013.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/dpfp_2013.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/dpfp_2015.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/dpfp_2015.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/dpfp_2017.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/dpfp_2017.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/dpfp_2019.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/dpfp_2019.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/dpfp_threaded_2013.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/dpfp_threaded_2013.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/dpfp_threaded_2015.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/dpfp_threaded_2015.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/dpfp_threaded_2017.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/dpfp_threaded_2017.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/dpfp_threaded_2019.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/dpfp_threaded_2019.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/fxload_2013.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/fxload_2013.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/fxload_2015.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/fxload_2015.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/fxload_2017.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/fxload_2017.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/fxload_2019.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/fxload_2019.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/getopt_2013.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/getopt_2013.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/getopt_2015.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/getopt_2015.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/getopt_2017.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/getopt_2017.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/getopt_2019.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/getopt_2019.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/getopt/ usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/getopt/getopt.c usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/getopt/getopt.h usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/getopt/getopt1.c usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/hotplugtest_2013.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/hotplugtest_2013.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/hotplugtest_2015.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/hotplugtest_2015.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/hotplugtest_2017.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/hotplugtest_2017.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/hotplugtest_2019.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/hotplugtest_2019.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/libusb_dll_2013.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/libusb_dll_2013.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/libusb_dll_2015.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/libusb_dll_2015.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/libusb_dll_2017.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/libusb_dll_2017.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/libusb_dll_2019.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/libusb_dll_2019.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/libusb_static_2013.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/libusb_static_2013.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/libusb_static_2015.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/libusb_static_2015.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/libusb_static_2017.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/libusb_static_2017.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/libusb_static_2019.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/libusb_static_2019.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/listdevs_2013.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/listdevs_2013.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/listdevs_2015.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/listdevs_2015.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/listdevs_2017.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/listdevs_2017.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/listdevs_2019.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/listdevs_2019.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/sam3u_benchmark_2013.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/sam3u_benchmark_2013.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/sam3u_benchmark_2015.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/sam3u_benchmark_2015.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/sam3u_benchmark_2017.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/sam3u_benchmark_2017.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/sam3u_benchmark_2019.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/sam3u_benchmark_2019.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/stress_2013.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/stress_2013.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/stress_2015.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/stress_2015.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/stress_2017.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/stress_2017.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/stress_2019.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/stress_2019.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/testlibusb_2013.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/testlibusb_2013.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/testlibusb_2015.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/testlibusb_2015.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/testlibusb_2017.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/testlibusb_2017.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/testlibusb_2019.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/testlibusb_2019.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/xusb_2013.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/xusb_2013.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/xusb_2015.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/xusb_2015.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/xusb_2017.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/xusb_2017.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/xusb_2019.vcxproj usr/lib/midi2-workbench/node_modules/usb/libusb/msvc/xusb_2019.vcxproj.filters usr/lib/midi2-workbench/node_modules/usb/libusb/NEWS usr/lib/midi2-workbench/node_modules/usb/libusb/PORTING usr/lib/midi2-workbench/node_modules/usb/libusb/README usr/lib/midi2-workbench/node_modules/usb/libusb/README.git usr/lib/midi2-workbench/node_modules/usb/libusb/tests/ usr/lib/midi2-workbench/node_modules/usb/libusb/tests/libusb_testlib.h usr/lib/midi2-workbench/node_modules/usb/libusb/tests/Makefile.am usr/lib/midi2-workbench/node_modules/usb/libusb/tests/stress.c usr/lib/midi2-workbench/node_modules/usb/libusb/tests/testlib.c usr/lib/midi2-workbench/node_modules/usb/libusb/tests/umockdev.c usr/lib/midi2-workbench/node_modules/usb/libusb/TODO usr/lib/midi2-workbench/node_modules/usb/libusb/Xcode/ usr/lib/midi2-workbench/node_modules/usb/libusb/Xcode/common.xcconfig usr/lib/midi2-workbench/node_modules/usb/libusb/Xcode/config.h usr/lib/midi2-workbench/node_modules/usb/libusb/Xcode/debug.xcconfig usr/lib/midi2-workbench/node_modules/usb/libusb/Xcode/libusb_debug.xcconfig usr/lib/midi2-workbench/node_modules/usb/libusb/Xcode/libusb_release.xcconfig usr/lib/midi2-workbench/node_modules/usb/libusb/Xcode/libusb.xcconfig usr/lib/midi2-workbench/node_modules/usb/libusb/Xcode/libusb.xcodeproj/ usr/lib/midi2-workbench/node_modules/usb/libusb/Xcode/libusb.xcodeproj/project.pbxproj usr/lib/midi2-workbench/node_modules/usb/libusb/Xcode/release.xcconfig usr/lib/midi2-workbench/node_modules/usb/LICENSE usr/lib/midi2-workbench/node_modules/usb/node_modules/ usr/lib/midi2-workbench/node_modules/usb/node_modules/node-addon-api/ usr/lib/midi2-workbench/node_modules/usb/node_modules/node-addon-api/common.gypi usr/lib/midi2-workbench/node_modules/usb/node_modules/node-addon-api/except.gypi usr/lib/midi2-workbench/node_modules/usb/node_modules/node-addon-api/index.js usr/lib/midi2-workbench/node_modules/usb/node_modules/node-addon-api/LICENSE.md usr/lib/midi2-workbench/node_modules/usb/node_modules/node-addon-api/napi-inl.deprecated.h usr/lib/midi2-workbench/node_modules/usb/node_modules/node-addon-api/napi-inl.h usr/lib/midi2-workbench/node_modules/usb/node_modules/node-addon-api/napi.h usr/lib/midi2-workbench/node_modules/usb/node_modules/node-addon-api/node_addon_api.gyp usr/lib/midi2-workbench/node_modules/usb/node_modules/node-addon-api/node_api.gyp usr/lib/midi2-workbench/node_modules/usb/node_modules/node-addon-api/noexcept.gypi usr/lib/midi2-workbench/node_modules/usb/node_modules/node-addon-api/nothing.c usr/lib/midi2-workbench/node_modules/usb/node_modules/node-addon-api/package-support.json usr/lib/midi2-workbench/node_modules/usb/node_modules/node-addon-api/package.json usr/lib/midi2-workbench/node_modules/usb/node_modules/node-addon-api/tools/ usr/lib/midi2-workbench/node_modules/usb/node_modules/node-addon-api/tools/check-napi.js usr/lib/midi2-workbench/node_modules/usb/node_modules/node-addon-api/tools/clang-format.js usr/lib/midi2-workbench/node_modules/usb/node_modules/node-addon-api/tools/conversion.js usr/lib/midi2-workbench/node_modules/usb/node_modules/node-addon-api/tools/eslint-format.js usr/lib/midi2-workbench/node_modules/usb/node_modules/node-addon-api/tools/README.md usr/lib/midi2-workbench/node_modules/usb/package.json usr/lib/midi2-workbench/node_modules/usb/src/ usr/lib/midi2-workbench/node_modules/usb/src/helpers.h usr/lib/midi2-workbench/node_modules/usb/src/hotplug/ usr/lib/midi2-workbench/node_modules/usb/src/hotplug/hotplug.h usr/lib/midi2-workbench/node_modules/usb/src/node_usb.h usr/lib/midi2-workbench/node_modules/usb/src/thread_name.h usr/lib/midi2-workbench/node_modules/usb/src/uv_async_queue.h usr/lib/midi2-workbench/node_modules/util/ usr/lib/midi2-workbench/node_modules/util/LICENSE usr/lib/midi2-workbench/node_modules/util/node_modules/ usr/lib/midi2-workbench/node_modules/util/node_modules/inherits/ usr/lib/midi2-workbench/node_modules/util/node_modules/inherits/inherits_browser.js usr/lib/midi2-workbench/node_modules/util/node_modules/inherits/inherits.js usr/lib/midi2-workbench/node_modules/util/node_modules/inherits/LICENSE usr/lib/midi2-workbench/node_modules/util/node_modules/inherits/package.json usr/lib/midi2-workbench/node_modules/util/package.json usr/lib/midi2-workbench/node_modules/util/support/ usr/lib/midi2-workbench/node_modules/util/support/isBuffer.js usr/lib/midi2-workbench/node_modules/util/support/isBufferBrowser.js usr/lib/midi2-workbench/node_modules/util/util.js usr/lib/midi2-workbench/node_modules/wrappy/ usr/lib/midi2-workbench/node_modules/wrappy/LICENSE usr/lib/midi2-workbench/node_modules/wrappy/package.json usr/lib/midi2-workbench/node_modules/wrappy/wrappy.js usr/lib/midi2-workbench/output/ usr/lib/midi2-workbench/output/about.html usr/lib/midi2-workbench/output/app/ usr/lib/midi2-workbench/output/app/about.js usr/lib/midi2-workbench/output/app/audio.js usr/lib/midi2-workbench/output/app/cm.js usr/lib/midi2-workbench/output/app/common.js usr/lib/midi2-workbench/output/app/debug.js usr/lib/midi2-workbench/output/app/index.js usr/lib/midi2-workbench/output/app/project.js usr/lib/midi2-workbench/output/app/smf2.js usr/lib/midi2-workbench/output/app/sound/ usr/lib/midi2-workbench/output/app/sound/audioworker.js usr/lib/midi2-workbench/output/app/sound/audioworklet.js usr/lib/midi2-workbench/output/app/sound/dx7/ usr/lib/midi2-workbench/output/app/sound/dx7/dx7-awn.js usr/lib/midi2-workbench/output/app/sound/dx7/dx7-awp.js usr/lib/midi2-workbench/output/app/sound/dx7/dx7.js usr/lib/midi2-workbench/output/app/sound/dx7/dx7.wasm.js usr/lib/midi2-workbench/output/app/sound/dx7/patches/ usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_0.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_1.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_10.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_11.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_12.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_13.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_14.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_15.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_16.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_17.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_18.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_19.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_2.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_20.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_21.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_22.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_23.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_24.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_25.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_26.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_27.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_28.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_29.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_3.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_30.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_31.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_4.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_5.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_6.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_7.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_8.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_0_9.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_0.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_1.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_10.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_11.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_12.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_13.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_14.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_15.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_16.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_17.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_18.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_19.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_2.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_20.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_21.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_22.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_23.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_24.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_25.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_26.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_27.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_28.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_29.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_3.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_30.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_31.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_4.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_5.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_6.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_7.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_8.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_1_9.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_0.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_1.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_10.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_11.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_12.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_13.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_14.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_15.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_16.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_17.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_18.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_19.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_2.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_20.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_21.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_22.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_23.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_24.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_25.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_26.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_27.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_28.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_29.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_3.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_30.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_31.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_4.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_5.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_6.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_7.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_8.json usr/lib/midi2-workbench/output/app/sound/dx7/patches/0_2_9.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/ usr/lib/midi2-workbench/output/app/sound/dx7/schema/algoMap.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/breakpointMap.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/classes.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/curvesMap.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/dx7-controllerlist.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/dx7-fxGui.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/dx7-multiGui.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/dx7-multiPatch.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/dx7-patch.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/dx7-programGui.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/dx7-programGui2.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/dx7-programGuiNew.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/dx7-settings.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/FM6opCMList.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/FM6opProgUIList.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/FXUIList.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/general.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/keyScale.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/lfo.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/lfoMap.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/operator.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/oscModeMap.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/settings.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/styles.json usr/lib/midi2-workbench/output/app/sound/dx7/schema/transposeMap.json usr/lib/midi2-workbench/output/app/sound/organ/ usr/lib/midi2-workbench/output/app/sound/organ/drawbarSingle.html usr/lib/midi2-workbench/output/app/sound/organ/drawbarSingle.js usr/lib/midi2-workbench/output/app/sound/organ/index.js usr/lib/midi2-workbench/output/app/sound/organ/patches/ usr/lib/midi2-workbench/output/app/sound/organ/patches/0_1_0.json usr/lib/midi2-workbench/output/app/sound/organ/patches/0_1_1.json usr/lib/midi2-workbench/output/app/sound/organ/patches/0_1_2.json usr/lib/midi2-workbench/output/app/sound/organ/patches/0_1_3.json usr/lib/midi2-workbench/output/app/sound/organ/synth.js usr/lib/midi2-workbench/output/app/sound/patches.db usr/lib/midi2-workbench/output/app/sound/ProgramList.json usr/lib/midi2-workbench/output/app/sound/wam-controller.js usr/lib/midi2-workbench/output/app/sound/wam-processor.js usr/lib/midi2-workbench/output/app/sound/webaudio-macronodes.js usr/lib/midi2-workbench/output/app/tools.js usr/lib/midi2-workbench/output/audio.html usr/lib/midi2-workbench/output/cmpopup.html usr/lib/midi2-workbench/output/css/ usr/lib/midi2-workbench/output/css/bootstrap-fileup.css usr/lib/midi2-workbench/output/css/bootstrap-grid.css usr/lib/midi2-workbench/output/css/bootstrap-grid.css.map usr/lib/midi2-workbench/output/css/bootstrap-grid.min.css usr/lib/midi2-workbench/output/css/bootstrap-grid.min.css.map usr/lib/midi2-workbench/output/css/bootstrap-reboot.css usr/lib/midi2-workbench/output/css/bootstrap-reboot.css.map usr/lib/midi2-workbench/output/css/bootstrap-reboot.min.css usr/lib/midi2-workbench/output/css/bootstrap-reboot.min.css.map usr/lib/midi2-workbench/output/css/bootstrap.css usr/lib/midi2-workbench/output/css/bootstrap.css.map usr/lib/midi2-workbench/output/css/bootstrap.min.css usr/lib/midi2-workbench/output/css/bootstrap.min.css.map usr/lib/midi2-workbench/output/css/jq-ui-bootstrap.css usr/lib/midi2-workbench/output/css/jq-ui-bootstrap.css.map usr/lib/midi2-workbench/output/css/jquery-ui.min.css usr/lib/midi2-workbench/output/css/jsonformat.css usr/lib/midi2-workbench/output/debug.html usr/lib/midi2-workbench/output/fa/ usr/lib/midi2-workbench/output/fa/css/ usr/lib/midi2-workbench/output/fa/css/all.css usr/lib/midi2-workbench/output/fa/css/all.min.css usr/lib/midi2-workbench/output/fa/css/brands.css usr/lib/midi2-workbench/output/fa/css/brands.min.css usr/lib/midi2-workbench/output/fa/css/fontawesome.css usr/lib/midi2-workbench/output/fa/css/fontawesome.min.css usr/lib/midi2-workbench/output/fa/css/regular.css usr/lib/midi2-workbench/output/fa/css/regular.min.css usr/lib/midi2-workbench/output/fa/css/solid.css usr/lib/midi2-workbench/output/fa/css/solid.min.css usr/lib/midi2-workbench/output/fa/css/svg-with-js.css usr/lib/midi2-workbench/output/fa/css/svg-with-js.min.css usr/lib/midi2-workbench/output/fa/css/v4-shims.css usr/lib/midi2-workbench/output/fa/css/v4-shims.min.css usr/lib/midi2-workbench/output/fa/js/ usr/lib/midi2-workbench/output/fa/js/all.js usr/lib/midi2-workbench/output/fa/js/all.min.js usr/lib/midi2-workbench/output/fa/js/brands.js usr/lib/midi2-workbench/output/fa/js/brands.min.js usr/lib/midi2-workbench/output/fa/js/conflict-detection.js usr/lib/midi2-workbench/output/fa/js/conflict-detection.min.js usr/lib/midi2-workbench/output/fa/js/fontawesome.js usr/lib/midi2-workbench/output/fa/js/fontawesome.min.js usr/lib/midi2-workbench/output/fa/js/regular.js usr/lib/midi2-workbench/output/fa/js/regular.min.js usr/lib/midi2-workbench/output/fa/js/solid.js usr/lib/midi2-workbench/output/fa/js/solid.min.js usr/lib/midi2-workbench/output/fa/js/v4-shims.js usr/lib/midi2-workbench/output/fa/js/v4-shims.min.js usr/lib/midi2-workbench/output/fa/less/ usr/lib/midi2-workbench/output/fa/less/_animated.less usr/lib/midi2-workbench/output/fa/less/_bordered-pulled.less usr/lib/midi2-workbench/output/fa/less/_core.less usr/lib/midi2-workbench/output/fa/less/_fixed-width.less usr/lib/midi2-workbench/output/fa/less/_icons.less usr/lib/midi2-workbench/output/fa/less/_larger.less usr/lib/midi2-workbench/output/fa/less/_list.less usr/lib/midi2-workbench/output/fa/less/_mixins.less usr/lib/midi2-workbench/output/fa/less/_rotated-flipped.less usr/lib/midi2-workbench/output/fa/less/_screen-reader.less usr/lib/midi2-workbench/output/fa/less/_shims.less usr/lib/midi2-workbench/output/fa/less/_stacked.less usr/lib/midi2-workbench/output/fa/less/_variables.less usr/lib/midi2-workbench/output/fa/less/brands.less usr/lib/midi2-workbench/output/fa/less/fontawesome.less usr/lib/midi2-workbench/output/fa/less/regular.less usr/lib/midi2-workbench/output/fa/less/solid.less usr/lib/midi2-workbench/output/fa/less/v4-shims.less usr/lib/midi2-workbench/output/fa/LICENSE.txt usr/lib/midi2-workbench/output/fa/metadata/ usr/lib/midi2-workbench/output/fa/metadata/categories.yml usr/lib/midi2-workbench/output/fa/metadata/icons.json usr/lib/midi2-workbench/output/fa/metadata/icons.yml usr/lib/midi2-workbench/output/fa/metadata/shims.json usr/lib/midi2-workbench/output/fa/metadata/shims.yml usr/lib/midi2-workbench/output/fa/metadata/sponsors.yml usr/lib/midi2-workbench/output/fa/scss/ usr/lib/midi2-workbench/output/fa/scss/_animated.scss usr/lib/midi2-workbench/output/fa/scss/_bordered-pulled.scss usr/lib/midi2-workbench/output/fa/scss/_core.scss usr/lib/midi2-workbench/output/fa/scss/_fixed-width.scss usr/lib/midi2-workbench/output/fa/scss/_icons.scss usr/lib/midi2-workbench/output/fa/scss/_larger.scss usr/lib/midi2-workbench/output/fa/scss/_list.scss usr/lib/midi2-workbench/output/fa/scss/_mixins.scss usr/lib/midi2-workbench/output/fa/scss/_rotated-flipped.scss usr/lib/midi2-workbench/output/fa/scss/_screen-reader.scss usr/lib/midi2-workbench/output/fa/scss/_shims.scss usr/lib/midi2-workbench/output/fa/scss/_stacked.scss usr/lib/midi2-workbench/output/fa/scss/_variables.scss usr/lib/midi2-workbench/output/fa/scss/brands.scss usr/lib/midi2-workbench/output/fa/scss/fontawesome.scss usr/lib/midi2-workbench/output/fa/scss/regular.scss usr/lib/midi2-workbench/output/fa/scss/solid.scss usr/lib/midi2-workbench/output/fa/scss/v4-shims.scss usr/lib/midi2-workbench/output/fa/sprites/ usr/lib/midi2-workbench/output/fa/sprites/brands.svg usr/lib/midi2-workbench/output/fa/sprites/regular.svg usr/lib/midi2-workbench/output/fa/sprites/solid.svg usr/lib/midi2-workbench/output/fa/svgs/ usr/lib/midi2-workbench/output/fa/svgs/brands/ usr/lib/midi2-workbench/output/fa/svgs/brands/500px.svg usr/lib/midi2-workbench/output/fa/svgs/brands/accessible-icon.svg usr/lib/midi2-workbench/output/fa/svgs/brands/accusoft.svg usr/lib/midi2-workbench/output/fa/svgs/brands/acquisitions-incorporated.svg usr/lib/midi2-workbench/output/fa/svgs/brands/adn.svg usr/lib/midi2-workbench/output/fa/svgs/brands/adobe.svg usr/lib/midi2-workbench/output/fa/svgs/brands/adversal.svg usr/lib/midi2-workbench/output/fa/svgs/brands/affiliatetheme.svg usr/lib/midi2-workbench/output/fa/svgs/brands/airbnb.svg usr/lib/midi2-workbench/output/fa/svgs/brands/algolia.svg usr/lib/midi2-workbench/output/fa/svgs/brands/alipay.svg usr/lib/midi2-workbench/output/fa/svgs/brands/amazon-pay.svg usr/lib/midi2-workbench/output/fa/svgs/brands/amazon.svg usr/lib/midi2-workbench/output/fa/svgs/brands/amilia.svg usr/lib/midi2-workbench/output/fa/svgs/brands/android.svg usr/lib/midi2-workbench/output/fa/svgs/brands/angellist.svg usr/lib/midi2-workbench/output/fa/svgs/brands/angrycreative.svg usr/lib/midi2-workbench/output/fa/svgs/brands/angular.svg usr/lib/midi2-workbench/output/fa/svgs/brands/app-store-ios.svg usr/lib/midi2-workbench/output/fa/svgs/brands/app-store.svg usr/lib/midi2-workbench/output/fa/svgs/brands/apper.svg usr/lib/midi2-workbench/output/fa/svgs/brands/apple-pay.svg usr/lib/midi2-workbench/output/fa/svgs/brands/apple.svg usr/lib/midi2-workbench/output/fa/svgs/brands/artstation.svg usr/lib/midi2-workbench/output/fa/svgs/brands/asymmetrik.svg usr/lib/midi2-workbench/output/fa/svgs/brands/atlassian.svg usr/lib/midi2-workbench/output/fa/svgs/brands/audible.svg usr/lib/midi2-workbench/output/fa/svgs/brands/autoprefixer.svg usr/lib/midi2-workbench/output/fa/svgs/brands/avianex.svg usr/lib/midi2-workbench/output/fa/svgs/brands/aviato.svg usr/lib/midi2-workbench/output/fa/svgs/brands/aws.svg usr/lib/midi2-workbench/output/fa/svgs/brands/bandcamp.svg usr/lib/midi2-workbench/output/fa/svgs/brands/battle-net.svg usr/lib/midi2-workbench/output/fa/svgs/brands/behance-square.svg usr/lib/midi2-workbench/output/fa/svgs/brands/behance.svg usr/lib/midi2-workbench/output/fa/svgs/brands/bimobject.svg usr/lib/midi2-workbench/output/fa/svgs/brands/bitbucket.svg usr/lib/midi2-workbench/output/fa/svgs/brands/bitcoin.svg usr/lib/midi2-workbench/output/fa/svgs/brands/bity.svg usr/lib/midi2-workbench/output/fa/svgs/brands/black-tie.svg usr/lib/midi2-workbench/output/fa/svgs/brands/blackberry.svg usr/lib/midi2-workbench/output/fa/svgs/brands/blogger-b.svg usr/lib/midi2-workbench/output/fa/svgs/brands/blogger.svg usr/lib/midi2-workbench/output/fa/svgs/brands/bluetooth-b.svg usr/lib/midi2-workbench/output/fa/svgs/brands/bluetooth.svg usr/lib/midi2-workbench/output/fa/svgs/brands/bootstrap.svg usr/lib/midi2-workbench/output/fa/svgs/brands/btc.svg usr/lib/midi2-workbench/output/fa/svgs/brands/buffer.svg usr/lib/midi2-workbench/output/fa/svgs/brands/buromobelexperte.svg usr/lib/midi2-workbench/output/fa/svgs/brands/buy-n-large.svg usr/lib/midi2-workbench/output/fa/svgs/brands/buysellads.svg usr/lib/midi2-workbench/output/fa/svgs/brands/canadian-maple-leaf.svg usr/lib/midi2-workbench/output/fa/svgs/brands/cc-amazon-pay.svg usr/lib/midi2-workbench/output/fa/svgs/brands/cc-amex.svg usr/lib/midi2-workbench/output/fa/svgs/brands/cc-apple-pay.svg usr/lib/midi2-workbench/output/fa/svgs/brands/cc-diners-club.svg usr/lib/midi2-workbench/output/fa/svgs/brands/cc-discover.svg usr/lib/midi2-workbench/output/fa/svgs/brands/cc-jcb.svg usr/lib/midi2-workbench/output/fa/svgs/brands/cc-mastercard.svg usr/lib/midi2-workbench/output/fa/svgs/brands/cc-paypal.svg usr/lib/midi2-workbench/output/fa/svgs/brands/cc-stripe.svg usr/lib/midi2-workbench/output/fa/svgs/brands/cc-visa.svg usr/lib/midi2-workbench/output/fa/svgs/brands/centercode.svg usr/lib/midi2-workbench/output/fa/svgs/brands/centos.svg usr/lib/midi2-workbench/output/fa/svgs/brands/chrome.svg usr/lib/midi2-workbench/output/fa/svgs/brands/chromecast.svg usr/lib/midi2-workbench/output/fa/svgs/brands/cloudscale.svg usr/lib/midi2-workbench/output/fa/svgs/brands/cloudsmith.svg usr/lib/midi2-workbench/output/fa/svgs/brands/cloudversify.svg usr/lib/midi2-workbench/output/fa/svgs/brands/codepen.svg usr/lib/midi2-workbench/output/fa/svgs/brands/codiepie.svg usr/lib/midi2-workbench/output/fa/svgs/brands/confluence.svg usr/lib/midi2-workbench/output/fa/svgs/brands/connectdevelop.svg usr/lib/midi2-workbench/output/fa/svgs/brands/contao.svg usr/lib/midi2-workbench/output/fa/svgs/brands/cotton-bureau.svg usr/lib/midi2-workbench/output/fa/svgs/brands/cpanel.svg usr/lib/midi2-workbench/output/fa/svgs/brands/creative-commons-by.svg usr/lib/midi2-workbench/output/fa/svgs/brands/creative-commons-nc-eu.svg usr/lib/midi2-workbench/output/fa/svgs/brands/creative-commons-nc-jp.svg usr/lib/midi2-workbench/output/fa/svgs/brands/creative-commons-nc.svg usr/lib/midi2-workbench/output/fa/svgs/brands/creative-commons-nd.svg usr/lib/midi2-workbench/output/fa/svgs/brands/creative-commons-pd-alt.svg usr/lib/midi2-workbench/output/fa/svgs/brands/creative-commons-pd.svg usr/lib/midi2-workbench/output/fa/svgs/brands/creative-commons-remix.svg usr/lib/midi2-workbench/output/fa/svgs/brands/creative-commons-sa.svg usr/lib/midi2-workbench/output/fa/svgs/brands/creative-commons-sampling-plus.svg usr/lib/midi2-workbench/output/fa/svgs/brands/creative-commons-sampling.svg usr/lib/midi2-workbench/output/fa/svgs/brands/creative-commons-share.svg usr/lib/midi2-workbench/output/fa/svgs/brands/creative-commons-zero.svg usr/lib/midi2-workbench/output/fa/svgs/brands/creative-commons.svg usr/lib/midi2-workbench/output/fa/svgs/brands/critical-role.svg usr/lib/midi2-workbench/output/fa/svgs/brands/css3-alt.svg usr/lib/midi2-workbench/output/fa/svgs/brands/css3.svg usr/lib/midi2-workbench/output/fa/svgs/brands/cuttlefish.svg usr/lib/midi2-workbench/output/fa/svgs/brands/d-and-d-beyond.svg usr/lib/midi2-workbench/output/fa/svgs/brands/d-and-d.svg usr/lib/midi2-workbench/output/fa/svgs/brands/dashcube.svg usr/lib/midi2-workbench/output/fa/svgs/brands/delicious.svg usr/lib/midi2-workbench/output/fa/svgs/brands/deploydog.svg usr/lib/midi2-workbench/output/fa/svgs/brands/deskpro.svg usr/lib/midi2-workbench/output/fa/svgs/brands/dev.svg usr/lib/midi2-workbench/output/fa/svgs/brands/deviantart.svg usr/lib/midi2-workbench/output/fa/svgs/brands/dhl.svg usr/lib/midi2-workbench/output/fa/svgs/brands/diaspora.svg usr/lib/midi2-workbench/output/fa/svgs/brands/digg.svg usr/lib/midi2-workbench/output/fa/svgs/brands/digital-ocean.svg usr/lib/midi2-workbench/output/fa/svgs/brands/discord.svg usr/lib/midi2-workbench/output/fa/svgs/brands/discourse.svg usr/lib/midi2-workbench/output/fa/svgs/brands/dochub.svg usr/lib/midi2-workbench/output/fa/svgs/brands/docker.svg usr/lib/midi2-workbench/output/fa/svgs/brands/draft2digital.svg usr/lib/midi2-workbench/output/fa/svgs/brands/dribbble-square.svg usr/lib/midi2-workbench/output/fa/svgs/brands/dribbble.svg usr/lib/midi2-workbench/output/fa/svgs/brands/dropbox.svg usr/lib/midi2-workbench/output/fa/svgs/brands/drupal.svg usr/lib/midi2-workbench/output/fa/svgs/brands/dyalog.svg usr/lib/midi2-workbench/output/fa/svgs/brands/earlybirds.svg usr/lib/midi2-workbench/output/fa/svgs/brands/ebay.svg usr/lib/midi2-workbench/output/fa/svgs/brands/edge.svg usr/lib/midi2-workbench/output/fa/svgs/brands/elementor.svg usr/lib/midi2-workbench/output/fa/svgs/brands/ello.svg usr/lib/midi2-workbench/output/fa/svgs/brands/ember.svg usr/lib/midi2-workbench/output/fa/svgs/brands/empire.svg usr/lib/midi2-workbench/output/fa/svgs/brands/envira.svg usr/lib/midi2-workbench/output/fa/svgs/brands/erlang.svg usr/lib/midi2-workbench/output/fa/svgs/brands/ethereum.svg usr/lib/midi2-workbench/output/fa/svgs/brands/etsy.svg usr/lib/midi2-workbench/output/fa/svgs/brands/evernote.svg usr/lib/midi2-workbench/output/fa/svgs/brands/expeditedssl.svg usr/lib/midi2-workbench/output/fa/svgs/brands/facebook-f.svg usr/lib/midi2-workbench/output/fa/svgs/brands/facebook-messenger.svg usr/lib/midi2-workbench/output/fa/svgs/brands/facebook-square.svg usr/lib/midi2-workbench/output/fa/svgs/brands/facebook.svg usr/lib/midi2-workbench/output/fa/svgs/brands/fantasy-flight-games.svg usr/lib/midi2-workbench/output/fa/svgs/brands/fedex.svg usr/lib/midi2-workbench/output/fa/svgs/brands/fedora.svg usr/lib/midi2-workbench/output/fa/svgs/brands/figma.svg usr/lib/midi2-workbench/output/fa/svgs/brands/firefox.svg usr/lib/midi2-workbench/output/fa/svgs/brands/first-order-alt.svg usr/lib/midi2-workbench/output/fa/svgs/brands/first-order.svg usr/lib/midi2-workbench/output/fa/svgs/brands/firstdraft.svg usr/lib/midi2-workbench/output/fa/svgs/brands/flickr.svg usr/lib/midi2-workbench/output/fa/svgs/brands/flipboard.svg usr/lib/midi2-workbench/output/fa/svgs/brands/fly.svg usr/lib/midi2-workbench/output/fa/svgs/brands/font-awesome-alt.svg usr/lib/midi2-workbench/output/fa/svgs/brands/font-awesome-flag.svg usr/lib/midi2-workbench/output/fa/svgs/brands/font-awesome-logo-full.svg usr/lib/midi2-workbench/output/fa/svgs/brands/font-awesome.svg usr/lib/midi2-workbench/output/fa/svgs/brands/fonticons-fi.svg usr/lib/midi2-workbench/output/fa/svgs/brands/fonticons.svg usr/lib/midi2-workbench/output/fa/svgs/brands/fort-awesome-alt.svg usr/lib/midi2-workbench/output/fa/svgs/brands/fort-awesome.svg usr/lib/midi2-workbench/output/fa/svgs/brands/forumbee.svg usr/lib/midi2-workbench/output/fa/svgs/brands/foursquare.svg usr/lib/midi2-workbench/output/fa/svgs/brands/free-code-camp.svg usr/lib/midi2-workbench/output/fa/svgs/brands/freebsd.svg usr/lib/midi2-workbench/output/fa/svgs/brands/fulcrum.svg usr/lib/midi2-workbench/output/fa/svgs/brands/galactic-republic.svg usr/lib/midi2-workbench/output/fa/svgs/brands/galactic-senate.svg usr/lib/midi2-workbench/output/fa/svgs/brands/get-pocket.svg usr/lib/midi2-workbench/output/fa/svgs/brands/gg-circle.svg usr/lib/midi2-workbench/output/fa/svgs/brands/gg.svg usr/lib/midi2-workbench/output/fa/svgs/brands/git-alt.svg usr/lib/midi2-workbench/output/fa/svgs/brands/git-square.svg usr/lib/midi2-workbench/output/fa/svgs/brands/git.svg usr/lib/midi2-workbench/output/fa/svgs/brands/github-alt.svg usr/lib/midi2-workbench/output/fa/svgs/brands/github-square.svg usr/lib/midi2-workbench/output/fa/svgs/brands/github.svg usr/lib/midi2-workbench/output/fa/svgs/brands/gitkraken.svg usr/lib/midi2-workbench/output/fa/svgs/brands/gitlab.svg usr/lib/midi2-workbench/output/fa/svgs/brands/gitter.svg usr/lib/midi2-workbench/output/fa/svgs/brands/glide-g.svg usr/lib/midi2-workbench/output/fa/svgs/brands/glide.svg usr/lib/midi2-workbench/output/fa/svgs/brands/gofore.svg usr/lib/midi2-workbench/output/fa/svgs/brands/goodreads-g.svg usr/lib/midi2-workbench/output/fa/svgs/brands/goodreads.svg usr/lib/midi2-workbench/output/fa/svgs/brands/google-drive.svg usr/lib/midi2-workbench/output/fa/svgs/brands/google-play.svg usr/lib/midi2-workbench/output/fa/svgs/brands/google-plus-g.svg usr/lib/midi2-workbench/output/fa/svgs/brands/google-plus-square.svg usr/lib/midi2-workbench/output/fa/svgs/brands/google-plus.svg usr/lib/midi2-workbench/output/fa/svgs/brands/google-wallet.svg usr/lib/midi2-workbench/output/fa/svgs/brands/google.svg usr/lib/midi2-workbench/output/fa/svgs/brands/gratipay.svg usr/lib/midi2-workbench/output/fa/svgs/brands/grav.svg usr/lib/midi2-workbench/output/fa/svgs/brands/gripfire.svg usr/lib/midi2-workbench/output/fa/svgs/brands/grunt.svg usr/lib/midi2-workbench/output/fa/svgs/brands/gulp.svg usr/lib/midi2-workbench/output/fa/svgs/brands/hacker-news-square.svg usr/lib/midi2-workbench/output/fa/svgs/brands/hacker-news.svg usr/lib/midi2-workbench/output/fa/svgs/brands/hackerrank.svg usr/lib/midi2-workbench/output/fa/svgs/brands/hips.svg usr/lib/midi2-workbench/output/fa/svgs/brands/hire-a-helper.svg usr/lib/midi2-workbench/output/fa/svgs/brands/hooli.svg usr/lib/midi2-workbench/output/fa/svgs/brands/hornbill.svg usr/lib/midi2-workbench/output/fa/svgs/brands/hotjar.svg usr/lib/midi2-workbench/output/fa/svgs/brands/houzz.svg usr/lib/midi2-workbench/output/fa/svgs/brands/html5.svg usr/lib/midi2-workbench/output/fa/svgs/brands/hubspot.svg usr/lib/midi2-workbench/output/fa/svgs/brands/imdb.svg usr/lib/midi2-workbench/output/fa/svgs/brands/instagram.svg usr/lib/midi2-workbench/output/fa/svgs/brands/intercom.svg usr/lib/midi2-workbench/output/fa/svgs/brands/internet-explorer.svg usr/lib/midi2-workbench/output/fa/svgs/brands/invision.svg usr/lib/midi2-workbench/output/fa/svgs/brands/ioxhost.svg usr/lib/midi2-workbench/output/fa/svgs/brands/itch-io.svg usr/lib/midi2-workbench/output/fa/svgs/brands/itunes-note.svg usr/lib/midi2-workbench/output/fa/svgs/brands/itunes.svg usr/lib/midi2-workbench/output/fa/svgs/brands/java.svg usr/lib/midi2-workbench/output/fa/svgs/brands/jedi-order.svg usr/lib/midi2-workbench/output/fa/svgs/brands/jenkins.svg usr/lib/midi2-workbench/output/fa/svgs/brands/jira.svg usr/lib/midi2-workbench/output/fa/svgs/brands/joget.svg usr/lib/midi2-workbench/output/fa/svgs/brands/joomla.svg usr/lib/midi2-workbench/output/fa/svgs/brands/js-square.svg usr/lib/midi2-workbench/output/fa/svgs/brands/js.svg usr/lib/midi2-workbench/output/fa/svgs/brands/jsfiddle.svg usr/lib/midi2-workbench/output/fa/svgs/brands/kaggle.svg usr/lib/midi2-workbench/output/fa/svgs/brands/keybase.svg usr/lib/midi2-workbench/output/fa/svgs/brands/keycdn.svg usr/lib/midi2-workbench/output/fa/svgs/brands/kickstarter-k.svg usr/lib/midi2-workbench/output/fa/svgs/brands/kickstarter.svg usr/lib/midi2-workbench/output/fa/svgs/brands/korvue.svg usr/lib/midi2-workbench/output/fa/svgs/brands/laravel.svg usr/lib/midi2-workbench/output/fa/svgs/brands/lastfm-square.svg usr/lib/midi2-workbench/output/fa/svgs/brands/lastfm.svg usr/lib/midi2-workbench/output/fa/svgs/brands/leanpub.svg usr/lib/midi2-workbench/output/fa/svgs/brands/less.svg usr/lib/midi2-workbench/output/fa/svgs/brands/line.svg usr/lib/midi2-workbench/output/fa/svgs/brands/linkedin-in.svg usr/lib/midi2-workbench/output/fa/svgs/brands/linkedin.svg usr/lib/midi2-workbench/output/fa/svgs/brands/linode.svg usr/lib/midi2-workbench/output/fa/svgs/brands/linux.svg usr/lib/midi2-workbench/output/fa/svgs/brands/lyft.svg usr/lib/midi2-workbench/output/fa/svgs/brands/magento.svg usr/lib/midi2-workbench/output/fa/svgs/brands/mailchimp.svg usr/lib/midi2-workbench/output/fa/svgs/brands/mandalorian.svg usr/lib/midi2-workbench/output/fa/svgs/brands/markdown.svg usr/lib/midi2-workbench/output/fa/svgs/brands/mastodon.svg usr/lib/midi2-workbench/output/fa/svgs/brands/maxcdn.svg usr/lib/midi2-workbench/output/fa/svgs/brands/mdb.svg usr/lib/midi2-workbench/output/fa/svgs/brands/medapps.svg usr/lib/midi2-workbench/output/fa/svgs/brands/medium-m.svg usr/lib/midi2-workbench/output/fa/svgs/brands/medium.svg usr/lib/midi2-workbench/output/fa/svgs/brands/medrt.svg usr/lib/midi2-workbench/output/fa/svgs/brands/meetup.svg usr/lib/midi2-workbench/output/fa/svgs/brands/megaport.svg usr/lib/midi2-workbench/output/fa/svgs/brands/mendeley.svg usr/lib/midi2-workbench/output/fa/svgs/brands/microsoft.svg usr/lib/midi2-workbench/output/fa/svgs/brands/mix.svg usr/lib/midi2-workbench/output/fa/svgs/brands/mixcloud.svg usr/lib/midi2-workbench/output/fa/svgs/brands/mizuni.svg usr/lib/midi2-workbench/output/fa/svgs/brands/modx.svg usr/lib/midi2-workbench/output/fa/svgs/brands/monero.svg usr/lib/midi2-workbench/output/fa/svgs/brands/napster.svg usr/lib/midi2-workbench/output/fa/svgs/brands/neos.svg usr/lib/midi2-workbench/output/fa/svgs/brands/nimblr.svg usr/lib/midi2-workbench/output/fa/svgs/brands/node-js.svg usr/lib/midi2-workbench/output/fa/svgs/brands/node.svg usr/lib/midi2-workbench/output/fa/svgs/brands/npm.svg usr/lib/midi2-workbench/output/fa/svgs/brands/ns8.svg usr/lib/midi2-workbench/output/fa/svgs/brands/nutritionix.svg usr/lib/midi2-workbench/output/fa/svgs/brands/odnoklassniki-square.svg usr/lib/midi2-workbench/output/fa/svgs/brands/odnoklassniki.svg usr/lib/midi2-workbench/output/fa/svgs/brands/old-republic.svg usr/lib/midi2-workbench/output/fa/svgs/brands/opencart.svg usr/lib/midi2-workbench/output/fa/svgs/brands/openid.svg usr/lib/midi2-workbench/output/fa/svgs/brands/opera.svg usr/lib/midi2-workbench/output/fa/svgs/brands/optin-monster.svg usr/lib/midi2-workbench/output/fa/svgs/brands/orcid.svg usr/lib/midi2-workbench/output/fa/svgs/brands/osi.svg usr/lib/midi2-workbench/output/fa/svgs/brands/page4.svg usr/lib/midi2-workbench/output/fa/svgs/brands/pagelines.svg usr/lib/midi2-workbench/output/fa/svgs/brands/palfed.svg usr/lib/midi2-workbench/output/fa/svgs/brands/patreon.svg usr/lib/midi2-workbench/output/fa/svgs/brands/paypal.svg usr/lib/midi2-workbench/output/fa/svgs/brands/penny-arcade.svg usr/lib/midi2-workbench/output/fa/svgs/brands/periscope.svg usr/lib/midi2-workbench/output/fa/svgs/brands/phabricator.svg usr/lib/midi2-workbench/output/fa/svgs/brands/phoenix-framework.svg usr/lib/midi2-workbench/output/fa/svgs/brands/phoenix-squadron.svg usr/lib/midi2-workbench/output/fa/svgs/brands/php.svg usr/lib/midi2-workbench/output/fa/svgs/brands/pied-piper-alt.svg usr/lib/midi2-workbench/output/fa/svgs/brands/pied-piper-hat.svg usr/lib/midi2-workbench/output/fa/svgs/brands/pied-piper-pp.svg usr/lib/midi2-workbench/output/fa/svgs/brands/pied-piper.svg usr/lib/midi2-workbench/output/fa/svgs/brands/pinterest-p.svg usr/lib/midi2-workbench/output/fa/svgs/brands/pinterest-square.svg usr/lib/midi2-workbench/output/fa/svgs/brands/pinterest.svg usr/lib/midi2-workbench/output/fa/svgs/brands/playstation.svg usr/lib/midi2-workbench/output/fa/svgs/brands/product-hunt.svg usr/lib/midi2-workbench/output/fa/svgs/brands/pushed.svg usr/lib/midi2-workbench/output/fa/svgs/brands/python.svg usr/lib/midi2-workbench/output/fa/svgs/brands/qq.svg usr/lib/midi2-workbench/output/fa/svgs/brands/quinscape.svg usr/lib/midi2-workbench/output/fa/svgs/brands/quora.svg usr/lib/midi2-workbench/output/fa/svgs/brands/r-project.svg usr/lib/midi2-workbench/output/fa/svgs/brands/raspberry-pi.svg usr/lib/midi2-workbench/output/fa/svgs/brands/ravelry.svg usr/lib/midi2-workbench/output/fa/svgs/brands/react.svg usr/lib/midi2-workbench/output/fa/svgs/brands/reacteurope.svg usr/lib/midi2-workbench/output/fa/svgs/brands/readme.svg usr/lib/midi2-workbench/output/fa/svgs/brands/rebel.svg usr/lib/midi2-workbench/output/fa/svgs/brands/red-river.svg usr/lib/midi2-workbench/output/fa/svgs/brands/reddit-alien.svg usr/lib/midi2-workbench/output/fa/svgs/brands/reddit-square.svg usr/lib/midi2-workbench/output/fa/svgs/brands/reddit.svg usr/lib/midi2-workbench/output/fa/svgs/brands/redhat.svg usr/lib/midi2-workbench/output/fa/svgs/brands/renren.svg usr/lib/midi2-workbench/output/fa/svgs/brands/replyd.svg usr/lib/midi2-workbench/output/fa/svgs/brands/researchgate.svg usr/lib/midi2-workbench/output/fa/svgs/brands/resolving.svg usr/lib/midi2-workbench/output/fa/svgs/brands/rev.svg usr/lib/midi2-workbench/output/fa/svgs/brands/rocketchat.svg usr/lib/midi2-workbench/output/fa/svgs/brands/rockrms.svg usr/lib/midi2-workbench/output/fa/svgs/brands/safari.svg usr/lib/midi2-workbench/output/fa/svgs/brands/salesforce.svg usr/lib/midi2-workbench/output/fa/svgs/brands/sass.svg usr/lib/midi2-workbench/output/fa/svgs/brands/schlix.svg usr/lib/midi2-workbench/output/fa/svgs/brands/scribd.svg usr/lib/midi2-workbench/output/fa/svgs/brands/searchengin.svg usr/lib/midi2-workbench/output/fa/svgs/brands/sellcast.svg usr/lib/midi2-workbench/output/fa/svgs/brands/sellsy.svg usr/lib/midi2-workbench/output/fa/svgs/brands/servicestack.svg usr/lib/midi2-workbench/output/fa/svgs/brands/shirtsinbulk.svg usr/lib/midi2-workbench/output/fa/svgs/brands/shopware.svg usr/lib/midi2-workbench/output/fa/svgs/brands/simplybuilt.svg usr/lib/midi2-workbench/output/fa/svgs/brands/sistrix.svg usr/lib/midi2-workbench/output/fa/svgs/brands/sith.svg usr/lib/midi2-workbench/output/fa/svgs/brands/sketch.svg usr/lib/midi2-workbench/output/fa/svgs/brands/skyatlas.svg usr/lib/midi2-workbench/output/fa/svgs/brands/skype.svg usr/lib/midi2-workbench/output/fa/svgs/brands/slack-hash.svg usr/lib/midi2-workbench/output/fa/svgs/brands/slack.svg usr/lib/midi2-workbench/output/fa/svgs/brands/slideshare.svg usr/lib/midi2-workbench/output/fa/svgs/brands/snapchat-ghost.svg usr/lib/midi2-workbench/output/fa/svgs/brands/snapchat-square.svg usr/lib/midi2-workbench/output/fa/svgs/brands/snapchat.svg usr/lib/midi2-workbench/output/fa/svgs/brands/soundcloud.svg usr/lib/midi2-workbench/output/fa/svgs/brands/sourcetree.svg usr/lib/midi2-workbench/output/fa/svgs/brands/speakap.svg usr/lib/midi2-workbench/output/fa/svgs/brands/speaker-deck.svg usr/lib/midi2-workbench/output/fa/svgs/brands/spotify.svg usr/lib/midi2-workbench/output/fa/svgs/brands/squarespace.svg usr/lib/midi2-workbench/output/fa/svgs/brands/stack-exchange.svg usr/lib/midi2-workbench/output/fa/svgs/brands/stack-overflow.svg usr/lib/midi2-workbench/output/fa/svgs/brands/stackpath.svg usr/lib/midi2-workbench/output/fa/svgs/brands/staylinked.svg usr/lib/midi2-workbench/output/fa/svgs/brands/steam-square.svg usr/lib/midi2-workbench/output/fa/svgs/brands/steam-symbol.svg usr/lib/midi2-workbench/output/fa/svgs/brands/steam.svg usr/lib/midi2-workbench/output/fa/svgs/brands/sticker-mule.svg usr/lib/midi2-workbench/output/fa/svgs/brands/strava.svg usr/lib/midi2-workbench/output/fa/svgs/brands/stripe-s.svg usr/lib/midi2-workbench/output/fa/svgs/brands/stripe.svg usr/lib/midi2-workbench/output/fa/svgs/brands/studiovinari.svg usr/lib/midi2-workbench/output/fa/svgs/brands/stumbleupon-circle.svg usr/lib/midi2-workbench/output/fa/svgs/brands/stumbleupon.svg usr/lib/midi2-workbench/output/fa/svgs/brands/superpowers.svg usr/lib/midi2-workbench/output/fa/svgs/brands/supple.svg usr/lib/midi2-workbench/output/fa/svgs/brands/suse.svg usr/lib/midi2-workbench/output/fa/svgs/brands/swift.svg usr/lib/midi2-workbench/output/fa/svgs/brands/symfony.svg usr/lib/midi2-workbench/output/fa/svgs/brands/teamspeak.svg usr/lib/midi2-workbench/output/fa/svgs/brands/telegram-plane.svg usr/lib/midi2-workbench/output/fa/svgs/brands/telegram.svg usr/lib/midi2-workbench/output/fa/svgs/brands/tencent-weibo.svg usr/lib/midi2-workbench/output/fa/svgs/brands/the-red-yeti.svg usr/lib/midi2-workbench/output/fa/svgs/brands/themeco.svg usr/lib/midi2-workbench/output/fa/svgs/brands/themeisle.svg usr/lib/midi2-workbench/output/fa/svgs/brands/think-peaks.svg usr/lib/midi2-workbench/output/fa/svgs/brands/trade-federation.svg usr/lib/midi2-workbench/output/fa/svgs/brands/trello.svg usr/lib/midi2-workbench/output/fa/svgs/brands/tripadvisor.svg usr/lib/midi2-workbench/output/fa/svgs/brands/tumblr-square.svg usr/lib/midi2-workbench/output/fa/svgs/brands/tumblr.svg usr/lib/midi2-workbench/output/fa/svgs/brands/twitch.svg usr/lib/midi2-workbench/output/fa/svgs/brands/twitter-square.svg usr/lib/midi2-workbench/output/fa/svgs/brands/twitter.svg usr/lib/midi2-workbench/output/fa/svgs/brands/typo3.svg usr/lib/midi2-workbench/output/fa/svgs/brands/uber.svg usr/lib/midi2-workbench/output/fa/svgs/brands/ubuntu.svg usr/lib/midi2-workbench/output/fa/svgs/brands/uikit.svg usr/lib/midi2-workbench/output/fa/svgs/brands/umbraco.svg usr/lib/midi2-workbench/output/fa/svgs/brands/uniregistry.svg usr/lib/midi2-workbench/output/fa/svgs/brands/untappd.svg usr/lib/midi2-workbench/output/fa/svgs/brands/ups.svg usr/lib/midi2-workbench/output/fa/svgs/brands/usb.svg usr/lib/midi2-workbench/output/fa/svgs/brands/usps.svg usr/lib/midi2-workbench/output/fa/svgs/brands/ussunnah.svg usr/lib/midi2-workbench/output/fa/svgs/brands/vaadin.svg usr/lib/midi2-workbench/output/fa/svgs/brands/viacoin.svg usr/lib/midi2-workbench/output/fa/svgs/brands/viadeo-square.svg usr/lib/midi2-workbench/output/fa/svgs/brands/viadeo.svg usr/lib/midi2-workbench/output/fa/svgs/brands/viber.svg usr/lib/midi2-workbench/output/fa/svgs/brands/vimeo-square.svg usr/lib/midi2-workbench/output/fa/svgs/brands/vimeo-v.svg usr/lib/midi2-workbench/output/fa/svgs/brands/vimeo.svg usr/lib/midi2-workbench/output/fa/svgs/brands/vine.svg usr/lib/midi2-workbench/output/fa/svgs/brands/vk.svg usr/lib/midi2-workbench/output/fa/svgs/brands/vnv.svg usr/lib/midi2-workbench/output/fa/svgs/brands/vuejs.svg usr/lib/midi2-workbench/output/fa/svgs/brands/waze.svg usr/lib/midi2-workbench/output/fa/svgs/brands/weebly.svg usr/lib/midi2-workbench/output/fa/svgs/brands/weibo.svg usr/lib/midi2-workbench/output/fa/svgs/brands/weixin.svg usr/lib/midi2-workbench/output/fa/svgs/brands/whatsapp-square.svg usr/lib/midi2-workbench/output/fa/svgs/brands/whatsapp.svg usr/lib/midi2-workbench/output/fa/svgs/brands/whmcs.svg usr/lib/midi2-workbench/output/fa/svgs/brands/wikipedia-w.svg usr/lib/midi2-workbench/output/fa/svgs/brands/windows.svg usr/lib/midi2-workbench/output/fa/svgs/brands/wix.svg usr/lib/midi2-workbench/output/fa/svgs/brands/wizards-of-the-coast.svg usr/lib/midi2-workbench/output/fa/svgs/brands/wolf-pack-battalion.svg usr/lib/midi2-workbench/output/fa/svgs/brands/wordpress-simple.svg usr/lib/midi2-workbench/output/fa/svgs/brands/wordpress.svg usr/lib/midi2-workbench/output/fa/svgs/brands/wpbeginner.svg usr/lib/midi2-workbench/output/fa/svgs/brands/wpexplorer.svg usr/lib/midi2-workbench/output/fa/svgs/brands/wpforms.svg usr/lib/midi2-workbench/output/fa/svgs/brands/wpressr.svg usr/lib/midi2-workbench/output/fa/svgs/brands/xbox.svg usr/lib/midi2-workbench/output/fa/svgs/brands/xing-square.svg usr/lib/midi2-workbench/output/fa/svgs/brands/xing.svg usr/lib/midi2-workbench/output/fa/svgs/brands/y-combinator.svg usr/lib/midi2-workbench/output/fa/svgs/brands/yahoo.svg usr/lib/midi2-workbench/output/fa/svgs/brands/yammer.svg usr/lib/midi2-workbench/output/fa/svgs/brands/yandex-international.svg usr/lib/midi2-workbench/output/fa/svgs/brands/yandex.svg usr/lib/midi2-workbench/output/fa/svgs/brands/yarn.svg usr/lib/midi2-workbench/output/fa/svgs/brands/yelp.svg usr/lib/midi2-workbench/output/fa/svgs/brands/yoast.svg usr/lib/midi2-workbench/output/fa/svgs/brands/youtube-square.svg usr/lib/midi2-workbench/output/fa/svgs/brands/youtube.svg usr/lib/midi2-workbench/output/fa/svgs/brands/zhihu.svg usr/lib/midi2-workbench/output/fa/svgs/regular/ usr/lib/midi2-workbench/output/fa/svgs/regular/address-book.svg usr/lib/midi2-workbench/output/fa/svgs/regular/address-card.svg usr/lib/midi2-workbench/output/fa/svgs/regular/angry.svg usr/lib/midi2-workbench/output/fa/svgs/regular/arrow-alt-circle-down.svg usr/lib/midi2-workbench/output/fa/svgs/regular/arrow-alt-circle-left.svg usr/lib/midi2-workbench/output/fa/svgs/regular/arrow-alt-circle-right.svg usr/lib/midi2-workbench/output/fa/svgs/regular/arrow-alt-circle-up.svg usr/lib/midi2-workbench/output/fa/svgs/regular/bell-slash.svg usr/lib/midi2-workbench/output/fa/svgs/regular/bell.svg usr/lib/midi2-workbench/output/fa/svgs/regular/bookmark.svg usr/lib/midi2-workbench/output/fa/svgs/regular/building.svg usr/lib/midi2-workbench/output/fa/svgs/regular/calendar-alt.svg usr/lib/midi2-workbench/output/fa/svgs/regular/calendar-check.svg usr/lib/midi2-workbench/output/fa/svgs/regular/calendar-minus.svg usr/lib/midi2-workbench/output/fa/svgs/regular/calendar-plus.svg usr/lib/midi2-workbench/output/fa/svgs/regular/calendar-times.svg usr/lib/midi2-workbench/output/fa/svgs/regular/calendar.svg usr/lib/midi2-workbench/output/fa/svgs/regular/caret-square-down.svg usr/lib/midi2-workbench/output/fa/svgs/regular/caret-square-left.svg usr/lib/midi2-workbench/output/fa/svgs/regular/caret-square-right.svg usr/lib/midi2-workbench/output/fa/svgs/regular/caret-square-up.svg usr/lib/midi2-workbench/output/fa/svgs/regular/chart-bar.svg usr/lib/midi2-workbench/output/fa/svgs/regular/check-circle.svg usr/lib/midi2-workbench/output/fa/svgs/regular/check-square.svg usr/lib/midi2-workbench/output/fa/svgs/regular/circle.svg usr/lib/midi2-workbench/output/fa/svgs/regular/clipboard.svg usr/lib/midi2-workbench/output/fa/svgs/regular/clock.svg usr/lib/midi2-workbench/output/fa/svgs/regular/clone.svg usr/lib/midi2-workbench/output/fa/svgs/regular/closed-captioning.svg usr/lib/midi2-workbench/output/fa/svgs/regular/comment-alt.svg usr/lib/midi2-workbench/output/fa/svgs/regular/comment-dots.svg usr/lib/midi2-workbench/output/fa/svgs/regular/comment.svg usr/lib/midi2-workbench/output/fa/svgs/regular/comments.svg usr/lib/midi2-workbench/output/fa/svgs/regular/compass.svg usr/lib/midi2-workbench/output/fa/svgs/regular/copy.svg usr/lib/midi2-workbench/output/fa/svgs/regular/copyright.svg usr/lib/midi2-workbench/output/fa/svgs/regular/credit-card.svg usr/lib/midi2-workbench/output/fa/svgs/regular/dizzy.svg usr/lib/midi2-workbench/output/fa/svgs/regular/dot-circle.svg usr/lib/midi2-workbench/output/fa/svgs/regular/edit.svg usr/lib/midi2-workbench/output/fa/svgs/regular/envelope-open.svg usr/lib/midi2-workbench/output/fa/svgs/regular/envelope.svg usr/lib/midi2-workbench/output/fa/svgs/regular/eye-slash.svg usr/lib/midi2-workbench/output/fa/svgs/regular/eye.svg usr/lib/midi2-workbench/output/fa/svgs/regular/file-alt.svg usr/lib/midi2-workbench/output/fa/svgs/regular/file-archive.svg usr/lib/midi2-workbench/output/fa/svgs/regular/file-audio.svg usr/lib/midi2-workbench/output/fa/svgs/regular/file-code.svg usr/lib/midi2-workbench/output/fa/svgs/regular/file-excel.svg usr/lib/midi2-workbench/output/fa/svgs/regular/file-image.svg usr/lib/midi2-workbench/output/fa/svgs/regular/file-pdf.svg usr/lib/midi2-workbench/output/fa/svgs/regular/file-powerpoint.svg usr/lib/midi2-workbench/output/fa/svgs/regular/file-video.svg usr/lib/midi2-workbench/output/fa/svgs/regular/file-word.svg usr/lib/midi2-workbench/output/fa/svgs/regular/file.svg usr/lib/midi2-workbench/output/fa/svgs/regular/flag.svg usr/lib/midi2-workbench/output/fa/svgs/regular/flushed.svg usr/lib/midi2-workbench/output/fa/svgs/regular/folder-open.svg usr/lib/midi2-workbench/output/fa/svgs/regular/folder.svg usr/lib/midi2-workbench/output/fa/svgs/regular/font-awesome-logo-full.svg usr/lib/midi2-workbench/output/fa/svgs/regular/frown-open.svg usr/lib/midi2-workbench/output/fa/svgs/regular/frown.svg usr/lib/midi2-workbench/output/fa/svgs/regular/futbol.svg usr/lib/midi2-workbench/output/fa/svgs/regular/gem.svg usr/lib/midi2-workbench/output/fa/svgs/regular/grimace.svg usr/lib/midi2-workbench/output/fa/svgs/regular/grin-alt.svg usr/lib/midi2-workbench/output/fa/svgs/regular/grin-beam-sweat.svg usr/lib/midi2-workbench/output/fa/svgs/regular/grin-beam.svg usr/lib/midi2-workbench/output/fa/svgs/regular/grin-hearts.svg usr/lib/midi2-workbench/output/fa/svgs/regular/grin-squint-tears.svg usr/lib/midi2-workbench/output/fa/svgs/regular/grin-squint.svg usr/lib/midi2-workbench/output/fa/svgs/regular/grin-stars.svg usr/lib/midi2-workbench/output/fa/svgs/regular/grin-tears.svg usr/lib/midi2-workbench/output/fa/svgs/regular/grin-tongue-squint.svg usr/lib/midi2-workbench/output/fa/svgs/regular/grin-tongue-wink.svg usr/lib/midi2-workbench/output/fa/svgs/regular/grin-tongue.svg usr/lib/midi2-workbench/output/fa/svgs/regular/grin-wink.svg usr/lib/midi2-workbench/output/fa/svgs/regular/grin.svg usr/lib/midi2-workbench/output/fa/svgs/regular/hand-lizard.svg usr/lib/midi2-workbench/output/fa/svgs/regular/hand-paper.svg usr/lib/midi2-workbench/output/fa/svgs/regular/hand-peace.svg usr/lib/midi2-workbench/output/fa/svgs/regular/hand-point-down.svg usr/lib/midi2-workbench/output/fa/svgs/regular/hand-point-left.svg usr/lib/midi2-workbench/output/fa/svgs/regular/hand-point-right.svg usr/lib/midi2-workbench/output/fa/svgs/regular/hand-point-up.svg usr/lib/midi2-workbench/output/fa/svgs/regular/hand-pointer.svg usr/lib/midi2-workbench/output/fa/svgs/regular/hand-rock.svg usr/lib/midi2-workbench/output/fa/svgs/regular/hand-scissors.svg usr/lib/midi2-workbench/output/fa/svgs/regular/hand-spock.svg usr/lib/midi2-workbench/output/fa/svgs/regular/handshake.svg usr/lib/midi2-workbench/output/fa/svgs/regular/hdd.svg usr/lib/midi2-workbench/output/fa/svgs/regular/heart.svg usr/lib/midi2-workbench/output/fa/svgs/regular/hospital.svg usr/lib/midi2-workbench/output/fa/svgs/regular/hourglass.svg usr/lib/midi2-workbench/output/fa/svgs/regular/id-badge.svg usr/lib/midi2-workbench/output/fa/svgs/regular/id-card.svg usr/lib/midi2-workbench/output/fa/svgs/regular/image.svg usr/lib/midi2-workbench/output/fa/svgs/regular/images.svg usr/lib/midi2-workbench/output/fa/svgs/regular/keyboard.svg usr/lib/midi2-workbench/output/fa/svgs/regular/kiss-beam.svg usr/lib/midi2-workbench/output/fa/svgs/regular/kiss-wink-heart.svg usr/lib/midi2-workbench/output/fa/svgs/regular/kiss.svg usr/lib/midi2-workbench/output/fa/svgs/regular/laugh-beam.svg usr/lib/midi2-workbench/output/fa/svgs/regular/laugh-squint.svg usr/lib/midi2-workbench/output/fa/svgs/regular/laugh-wink.svg usr/lib/midi2-workbench/output/fa/svgs/regular/laugh.svg usr/lib/midi2-workbench/output/fa/svgs/regular/lemon.svg usr/lib/midi2-workbench/output/fa/svgs/regular/life-ring.svg usr/lib/midi2-workbench/output/fa/svgs/regular/lightbulb.svg usr/lib/midi2-workbench/output/fa/svgs/regular/list-alt.svg usr/lib/midi2-workbench/output/fa/svgs/regular/map.svg usr/lib/midi2-workbench/output/fa/svgs/regular/meh-blank.svg usr/lib/midi2-workbench/output/fa/svgs/regular/meh-rolling-eyes.svg usr/lib/midi2-workbench/output/fa/svgs/regular/meh.svg usr/lib/midi2-workbench/output/fa/svgs/regular/minus-square.svg usr/lib/midi2-workbench/output/fa/svgs/regular/money-bill-alt.svg usr/lib/midi2-workbench/output/fa/svgs/regular/moon.svg usr/lib/midi2-workbench/output/fa/svgs/regular/newspaper.svg usr/lib/midi2-workbench/output/fa/svgs/regular/object-group.svg usr/lib/midi2-workbench/output/fa/svgs/regular/object-ungroup.svg usr/lib/midi2-workbench/output/fa/svgs/regular/paper-plane.svg usr/lib/midi2-workbench/output/fa/svgs/regular/pause-circle.svg usr/lib/midi2-workbench/output/fa/svgs/regular/play-circle.svg usr/lib/midi2-workbench/output/fa/svgs/regular/plus-square.svg usr/lib/midi2-workbench/output/fa/svgs/regular/question-circle.svg usr/lib/midi2-workbench/output/fa/svgs/regular/registered.svg usr/lib/midi2-workbench/output/fa/svgs/regular/sad-cry.svg usr/lib/midi2-workbench/output/fa/svgs/regular/sad-tear.svg usr/lib/midi2-workbench/output/fa/svgs/regular/save.svg usr/lib/midi2-workbench/output/fa/svgs/regular/share-square.svg usr/lib/midi2-workbench/output/fa/svgs/regular/smile-beam.svg usr/lib/midi2-workbench/output/fa/svgs/regular/smile-wink.svg usr/lib/midi2-workbench/output/fa/svgs/regular/smile.svg usr/lib/midi2-workbench/output/fa/svgs/regular/snowflake.svg usr/lib/midi2-workbench/output/fa/svgs/regular/square.svg usr/lib/midi2-workbench/output/fa/svgs/regular/star-half.svg usr/lib/midi2-workbench/output/fa/svgs/regular/star.svg usr/lib/midi2-workbench/output/fa/svgs/regular/sticky-note.svg usr/lib/midi2-workbench/output/fa/svgs/regular/stop-circle.svg usr/lib/midi2-workbench/output/fa/svgs/regular/sun.svg usr/lib/midi2-workbench/output/fa/svgs/regular/surprise.svg usr/lib/midi2-workbench/output/fa/svgs/regular/thumbs-down.svg usr/lib/midi2-workbench/output/fa/svgs/regular/thumbs-up.svg usr/lib/midi2-workbench/output/fa/svgs/regular/times-circle.svg usr/lib/midi2-workbench/output/fa/svgs/regular/tired.svg usr/lib/midi2-workbench/output/fa/svgs/regular/trash-alt.svg usr/lib/midi2-workbench/output/fa/svgs/regular/user-circle.svg usr/lib/midi2-workbench/output/fa/svgs/regular/user.svg usr/lib/midi2-workbench/output/fa/svgs/regular/window-close.svg usr/lib/midi2-workbench/output/fa/svgs/regular/window-maximize.svg usr/lib/midi2-workbench/output/fa/svgs/regular/window-minimize.svg usr/lib/midi2-workbench/output/fa/svgs/regular/window-restore.svg usr/lib/midi2-workbench/output/fa/svgs/solid/ usr/lib/midi2-workbench/output/fa/svgs/solid/ad.svg usr/lib/midi2-workbench/output/fa/svgs/solid/address-book.svg usr/lib/midi2-workbench/output/fa/svgs/solid/address-card.svg usr/lib/midi2-workbench/output/fa/svgs/solid/adjust.svg usr/lib/midi2-workbench/output/fa/svgs/solid/air-freshener.svg usr/lib/midi2-workbench/output/fa/svgs/solid/align-center.svg usr/lib/midi2-workbench/output/fa/svgs/solid/align-justify.svg usr/lib/midi2-workbench/output/fa/svgs/solid/align-left.svg usr/lib/midi2-workbench/output/fa/svgs/solid/align-right.svg usr/lib/midi2-workbench/output/fa/svgs/solid/allergies.svg usr/lib/midi2-workbench/output/fa/svgs/solid/ambulance.svg usr/lib/midi2-workbench/output/fa/svgs/solid/american-sign-language-interpreting.svg usr/lib/midi2-workbench/output/fa/svgs/solid/anchor.svg usr/lib/midi2-workbench/output/fa/svgs/solid/angle-double-down.svg usr/lib/midi2-workbench/output/fa/svgs/solid/angle-double-left.svg usr/lib/midi2-workbench/output/fa/svgs/solid/angle-double-right.svg usr/lib/midi2-workbench/output/fa/svgs/solid/angle-double-up.svg usr/lib/midi2-workbench/output/fa/svgs/solid/angle-down.svg usr/lib/midi2-workbench/output/fa/svgs/solid/angle-left.svg usr/lib/midi2-workbench/output/fa/svgs/solid/angle-right.svg usr/lib/midi2-workbench/output/fa/svgs/solid/angle-up.svg usr/lib/midi2-workbench/output/fa/svgs/solid/angry.svg usr/lib/midi2-workbench/output/fa/svgs/solid/ankh.svg usr/lib/midi2-workbench/output/fa/svgs/solid/apple-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/archive.svg usr/lib/midi2-workbench/output/fa/svgs/solid/archway.svg usr/lib/midi2-workbench/output/fa/svgs/solid/arrow-alt-circle-down.svg usr/lib/midi2-workbench/output/fa/svgs/solid/arrow-alt-circle-left.svg usr/lib/midi2-workbench/output/fa/svgs/solid/arrow-alt-circle-right.svg usr/lib/midi2-workbench/output/fa/svgs/solid/arrow-alt-circle-up.svg usr/lib/midi2-workbench/output/fa/svgs/solid/arrow-circle-down.svg usr/lib/midi2-workbench/output/fa/svgs/solid/arrow-circle-left.svg usr/lib/midi2-workbench/output/fa/svgs/solid/arrow-circle-right.svg usr/lib/midi2-workbench/output/fa/svgs/solid/arrow-circle-up.svg usr/lib/midi2-workbench/output/fa/svgs/solid/arrow-down.svg usr/lib/midi2-workbench/output/fa/svgs/solid/arrow-left.svg usr/lib/midi2-workbench/output/fa/svgs/solid/arrow-right.svg usr/lib/midi2-workbench/output/fa/svgs/solid/arrow-up.svg usr/lib/midi2-workbench/output/fa/svgs/solid/arrows-alt-h.svg usr/lib/midi2-workbench/output/fa/svgs/solid/arrows-alt-v.svg usr/lib/midi2-workbench/output/fa/svgs/solid/arrows-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/assistive-listening-systems.svg usr/lib/midi2-workbench/output/fa/svgs/solid/asterisk.svg usr/lib/midi2-workbench/output/fa/svgs/solid/at.svg usr/lib/midi2-workbench/output/fa/svgs/solid/atlas.svg usr/lib/midi2-workbench/output/fa/svgs/solid/atom.svg usr/lib/midi2-workbench/output/fa/svgs/solid/audio-description.svg usr/lib/midi2-workbench/output/fa/svgs/solid/award.svg usr/lib/midi2-workbench/output/fa/svgs/solid/baby-carriage.svg usr/lib/midi2-workbench/output/fa/svgs/solid/baby.svg usr/lib/midi2-workbench/output/fa/svgs/solid/backspace.svg usr/lib/midi2-workbench/output/fa/svgs/solid/backward.svg usr/lib/midi2-workbench/output/fa/svgs/solid/bacon.svg usr/lib/midi2-workbench/output/fa/svgs/solid/balance-scale-left.svg usr/lib/midi2-workbench/output/fa/svgs/solid/balance-scale-right.svg usr/lib/midi2-workbench/output/fa/svgs/solid/balance-scale.svg usr/lib/midi2-workbench/output/fa/svgs/solid/ban.svg usr/lib/midi2-workbench/output/fa/svgs/solid/band-aid.svg usr/lib/midi2-workbench/output/fa/svgs/solid/barcode.svg usr/lib/midi2-workbench/output/fa/svgs/solid/bars.svg usr/lib/midi2-workbench/output/fa/svgs/solid/baseball-ball.svg usr/lib/midi2-workbench/output/fa/svgs/solid/basketball-ball.svg usr/lib/midi2-workbench/output/fa/svgs/solid/bath.svg usr/lib/midi2-workbench/output/fa/svgs/solid/battery-empty.svg usr/lib/midi2-workbench/output/fa/svgs/solid/battery-full.svg usr/lib/midi2-workbench/output/fa/svgs/solid/battery-half.svg usr/lib/midi2-workbench/output/fa/svgs/solid/battery-quarter.svg usr/lib/midi2-workbench/output/fa/svgs/solid/battery-three-quarters.svg usr/lib/midi2-workbench/output/fa/svgs/solid/bed.svg usr/lib/midi2-workbench/output/fa/svgs/solid/beer.svg usr/lib/midi2-workbench/output/fa/svgs/solid/bell-slash.svg usr/lib/midi2-workbench/output/fa/svgs/solid/bell.svg usr/lib/midi2-workbench/output/fa/svgs/solid/bezier-curve.svg usr/lib/midi2-workbench/output/fa/svgs/solid/bible.svg usr/lib/midi2-workbench/output/fa/svgs/solid/bicycle.svg usr/lib/midi2-workbench/output/fa/svgs/solid/biking.svg usr/lib/midi2-workbench/output/fa/svgs/solid/binoculars.svg usr/lib/midi2-workbench/output/fa/svgs/solid/biohazard.svg usr/lib/midi2-workbench/output/fa/svgs/solid/birthday-cake.svg usr/lib/midi2-workbench/output/fa/svgs/solid/blender-phone.svg usr/lib/midi2-workbench/output/fa/svgs/solid/blender.svg usr/lib/midi2-workbench/output/fa/svgs/solid/blind.svg usr/lib/midi2-workbench/output/fa/svgs/solid/blog.svg usr/lib/midi2-workbench/output/fa/svgs/solid/bold.svg usr/lib/midi2-workbench/output/fa/svgs/solid/bolt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/bomb.svg usr/lib/midi2-workbench/output/fa/svgs/solid/bone.svg usr/lib/midi2-workbench/output/fa/svgs/solid/bong.svg usr/lib/midi2-workbench/output/fa/svgs/solid/book-dead.svg usr/lib/midi2-workbench/output/fa/svgs/solid/book-medical.svg usr/lib/midi2-workbench/output/fa/svgs/solid/book-open.svg usr/lib/midi2-workbench/output/fa/svgs/solid/book-reader.svg usr/lib/midi2-workbench/output/fa/svgs/solid/book.svg usr/lib/midi2-workbench/output/fa/svgs/solid/bookmark.svg usr/lib/midi2-workbench/output/fa/svgs/solid/border-all.svg usr/lib/midi2-workbench/output/fa/svgs/solid/border-none.svg usr/lib/midi2-workbench/output/fa/svgs/solid/border-style.svg usr/lib/midi2-workbench/output/fa/svgs/solid/bowling-ball.svg usr/lib/midi2-workbench/output/fa/svgs/solid/box-open.svg usr/lib/midi2-workbench/output/fa/svgs/solid/box.svg usr/lib/midi2-workbench/output/fa/svgs/solid/boxes.svg usr/lib/midi2-workbench/output/fa/svgs/solid/braille.svg usr/lib/midi2-workbench/output/fa/svgs/solid/brain.svg usr/lib/midi2-workbench/output/fa/svgs/solid/bread-slice.svg usr/lib/midi2-workbench/output/fa/svgs/solid/briefcase-medical.svg usr/lib/midi2-workbench/output/fa/svgs/solid/briefcase.svg usr/lib/midi2-workbench/output/fa/svgs/solid/broadcast-tower.svg usr/lib/midi2-workbench/output/fa/svgs/solid/broom.svg usr/lib/midi2-workbench/output/fa/svgs/solid/brush.svg usr/lib/midi2-workbench/output/fa/svgs/solid/bug.svg usr/lib/midi2-workbench/output/fa/svgs/solid/building.svg usr/lib/midi2-workbench/output/fa/svgs/solid/bullhorn.svg usr/lib/midi2-workbench/output/fa/svgs/solid/bullseye.svg usr/lib/midi2-workbench/output/fa/svgs/solid/burn.svg usr/lib/midi2-workbench/output/fa/svgs/solid/bus-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/bus.svg usr/lib/midi2-workbench/output/fa/svgs/solid/business-time.svg usr/lib/midi2-workbench/output/fa/svgs/solid/calculator.svg usr/lib/midi2-workbench/output/fa/svgs/solid/calendar-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/calendar-check.svg usr/lib/midi2-workbench/output/fa/svgs/solid/calendar-day.svg usr/lib/midi2-workbench/output/fa/svgs/solid/calendar-minus.svg usr/lib/midi2-workbench/output/fa/svgs/solid/calendar-plus.svg usr/lib/midi2-workbench/output/fa/svgs/solid/calendar-times.svg usr/lib/midi2-workbench/output/fa/svgs/solid/calendar-week.svg usr/lib/midi2-workbench/output/fa/svgs/solid/calendar.svg usr/lib/midi2-workbench/output/fa/svgs/solid/camera-retro.svg usr/lib/midi2-workbench/output/fa/svgs/solid/camera.svg usr/lib/midi2-workbench/output/fa/svgs/solid/campground.svg usr/lib/midi2-workbench/output/fa/svgs/solid/candy-cane.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cannabis.svg usr/lib/midi2-workbench/output/fa/svgs/solid/capsules.svg usr/lib/midi2-workbench/output/fa/svgs/solid/car-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/car-battery.svg usr/lib/midi2-workbench/output/fa/svgs/solid/car-crash.svg usr/lib/midi2-workbench/output/fa/svgs/solid/car-side.svg usr/lib/midi2-workbench/output/fa/svgs/solid/car.svg usr/lib/midi2-workbench/output/fa/svgs/solid/caret-down.svg usr/lib/midi2-workbench/output/fa/svgs/solid/caret-left.svg usr/lib/midi2-workbench/output/fa/svgs/solid/caret-right.svg usr/lib/midi2-workbench/output/fa/svgs/solid/caret-square-down.svg usr/lib/midi2-workbench/output/fa/svgs/solid/caret-square-left.svg usr/lib/midi2-workbench/output/fa/svgs/solid/caret-square-right.svg usr/lib/midi2-workbench/output/fa/svgs/solid/caret-square-up.svg usr/lib/midi2-workbench/output/fa/svgs/solid/caret-up.svg usr/lib/midi2-workbench/output/fa/svgs/solid/carrot.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cart-arrow-down.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cart-plus.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cash-register.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cat.svg usr/lib/midi2-workbench/output/fa/svgs/solid/certificate.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chair.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chalkboard-teacher.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chalkboard.svg usr/lib/midi2-workbench/output/fa/svgs/solid/charging-station.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chart-area.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chart-bar.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chart-line.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chart-pie.svg usr/lib/midi2-workbench/output/fa/svgs/solid/check-circle.svg usr/lib/midi2-workbench/output/fa/svgs/solid/check-double.svg usr/lib/midi2-workbench/output/fa/svgs/solid/check-square.svg usr/lib/midi2-workbench/output/fa/svgs/solid/check.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cheese.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chess-bishop.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chess-board.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chess-king.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chess-knight.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chess-pawn.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chess-queen.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chess-rook.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chess.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chevron-circle-down.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chevron-circle-left.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chevron-circle-right.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chevron-circle-up.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chevron-down.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chevron-left.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chevron-right.svg usr/lib/midi2-workbench/output/fa/svgs/solid/chevron-up.svg usr/lib/midi2-workbench/output/fa/svgs/solid/child.svg usr/lib/midi2-workbench/output/fa/svgs/solid/church.svg usr/lib/midi2-workbench/output/fa/svgs/solid/circle-notch.svg usr/lib/midi2-workbench/output/fa/svgs/solid/circle.svg usr/lib/midi2-workbench/output/fa/svgs/solid/city.svg usr/lib/midi2-workbench/output/fa/svgs/solid/clinic-medical.svg usr/lib/midi2-workbench/output/fa/svgs/solid/clipboard-check.svg usr/lib/midi2-workbench/output/fa/svgs/solid/clipboard-list.svg usr/lib/midi2-workbench/output/fa/svgs/solid/clipboard.svg usr/lib/midi2-workbench/output/fa/svgs/solid/clock.svg usr/lib/midi2-workbench/output/fa/svgs/solid/clone.svg usr/lib/midi2-workbench/output/fa/svgs/solid/closed-captioning.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cloud-download-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cloud-meatball.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cloud-moon-rain.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cloud-moon.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cloud-rain.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cloud-showers-heavy.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cloud-sun-rain.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cloud-sun.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cloud-upload-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cloud.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cocktail.svg usr/lib/midi2-workbench/output/fa/svgs/solid/code-branch.svg usr/lib/midi2-workbench/output/fa/svgs/solid/code.svg usr/lib/midi2-workbench/output/fa/svgs/solid/coffee.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cog.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cogs.svg usr/lib/midi2-workbench/output/fa/svgs/solid/coins.svg usr/lib/midi2-workbench/output/fa/svgs/solid/columns.svg usr/lib/midi2-workbench/output/fa/svgs/solid/comment-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/comment-dollar.svg usr/lib/midi2-workbench/output/fa/svgs/solid/comment-dots.svg usr/lib/midi2-workbench/output/fa/svgs/solid/comment-medical.svg usr/lib/midi2-workbench/output/fa/svgs/solid/comment-slash.svg usr/lib/midi2-workbench/output/fa/svgs/solid/comment.svg usr/lib/midi2-workbench/output/fa/svgs/solid/comments-dollar.svg usr/lib/midi2-workbench/output/fa/svgs/solid/comments.svg usr/lib/midi2-workbench/output/fa/svgs/solid/compact-disc.svg usr/lib/midi2-workbench/output/fa/svgs/solid/compass.svg usr/lib/midi2-workbench/output/fa/svgs/solid/compress-arrows-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/compress.svg usr/lib/midi2-workbench/output/fa/svgs/solid/concierge-bell.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cookie-bite.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cookie.svg usr/lib/midi2-workbench/output/fa/svgs/solid/copy.svg usr/lib/midi2-workbench/output/fa/svgs/solid/copyright.svg usr/lib/midi2-workbench/output/fa/svgs/solid/couch.svg usr/lib/midi2-workbench/output/fa/svgs/solid/credit-card.svg usr/lib/midi2-workbench/output/fa/svgs/solid/crop-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/crop.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cross.svg usr/lib/midi2-workbench/output/fa/svgs/solid/crosshairs.svg usr/lib/midi2-workbench/output/fa/svgs/solid/crow.svg usr/lib/midi2-workbench/output/fa/svgs/solid/crown.svg usr/lib/midi2-workbench/output/fa/svgs/solid/crutch.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cube.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cubes.svg usr/lib/midi2-workbench/output/fa/svgs/solid/cut.svg usr/lib/midi2-workbench/output/fa/svgs/solid/database.svg usr/lib/midi2-workbench/output/fa/svgs/solid/deaf.svg usr/lib/midi2-workbench/output/fa/svgs/solid/democrat.svg usr/lib/midi2-workbench/output/fa/svgs/solid/desktop.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dharmachakra.svg usr/lib/midi2-workbench/output/fa/svgs/solid/diagnoses.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dice-d20.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dice-d6.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dice-five.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dice-four.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dice-one.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dice-six.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dice-three.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dice-two.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dice.svg usr/lib/midi2-workbench/output/fa/svgs/solid/digital-tachograph.svg usr/lib/midi2-workbench/output/fa/svgs/solid/directions.svg usr/lib/midi2-workbench/output/fa/svgs/solid/divide.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dizzy.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dna.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dog.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dollar-sign.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dolly-flatbed.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dolly.svg usr/lib/midi2-workbench/output/fa/svgs/solid/donate.svg usr/lib/midi2-workbench/output/fa/svgs/solid/door-closed.svg usr/lib/midi2-workbench/output/fa/svgs/solid/door-open.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dot-circle.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dove.svg usr/lib/midi2-workbench/output/fa/svgs/solid/download.svg usr/lib/midi2-workbench/output/fa/svgs/solid/drafting-compass.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dragon.svg usr/lib/midi2-workbench/output/fa/svgs/solid/draw-polygon.svg usr/lib/midi2-workbench/output/fa/svgs/solid/drum-steelpan.svg usr/lib/midi2-workbench/output/fa/svgs/solid/drum.svg usr/lib/midi2-workbench/output/fa/svgs/solid/drumstick-bite.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dumbbell.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dumpster-fire.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dumpster.svg usr/lib/midi2-workbench/output/fa/svgs/solid/dungeon.svg usr/lib/midi2-workbench/output/fa/svgs/solid/edit.svg usr/lib/midi2-workbench/output/fa/svgs/solid/egg.svg usr/lib/midi2-workbench/output/fa/svgs/solid/eject.svg usr/lib/midi2-workbench/output/fa/svgs/solid/ellipsis-h.svg usr/lib/midi2-workbench/output/fa/svgs/solid/ellipsis-v.svg usr/lib/midi2-workbench/output/fa/svgs/solid/envelope-open-text.svg usr/lib/midi2-workbench/output/fa/svgs/solid/envelope-open.svg usr/lib/midi2-workbench/output/fa/svgs/solid/envelope-square.svg usr/lib/midi2-workbench/output/fa/svgs/solid/envelope.svg usr/lib/midi2-workbench/output/fa/svgs/solid/equals.svg usr/lib/midi2-workbench/output/fa/svgs/solid/eraser.svg usr/lib/midi2-workbench/output/fa/svgs/solid/ethernet.svg usr/lib/midi2-workbench/output/fa/svgs/solid/euro-sign.svg usr/lib/midi2-workbench/output/fa/svgs/solid/exchange-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/exclamation-circle.svg usr/lib/midi2-workbench/output/fa/svgs/solid/exclamation-triangle.svg usr/lib/midi2-workbench/output/fa/svgs/solid/exclamation.svg usr/lib/midi2-workbench/output/fa/svgs/solid/expand-arrows-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/expand.svg usr/lib/midi2-workbench/output/fa/svgs/solid/external-link-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/external-link-square-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/eye-dropper.svg usr/lib/midi2-workbench/output/fa/svgs/solid/eye-slash.svg usr/lib/midi2-workbench/output/fa/svgs/solid/eye.svg usr/lib/midi2-workbench/output/fa/svgs/solid/fan.svg usr/lib/midi2-workbench/output/fa/svgs/solid/fast-backward.svg usr/lib/midi2-workbench/output/fa/svgs/solid/fast-forward.svg usr/lib/midi2-workbench/output/fa/svgs/solid/fax.svg usr/lib/midi2-workbench/output/fa/svgs/solid/feather-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/feather.svg usr/lib/midi2-workbench/output/fa/svgs/solid/female.svg usr/lib/midi2-workbench/output/fa/svgs/solid/fighter-jet.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file-archive.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file-audio.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file-code.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file-contract.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file-csv.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file-download.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file-excel.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file-export.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file-image.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file-import.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file-invoice-dollar.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file-invoice.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file-medical-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file-medical.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file-pdf.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file-powerpoint.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file-prescription.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file-signature.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file-upload.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file-video.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file-word.svg usr/lib/midi2-workbench/output/fa/svgs/solid/file.svg usr/lib/midi2-workbench/output/fa/svgs/solid/fill-drip.svg usr/lib/midi2-workbench/output/fa/svgs/solid/fill.svg usr/lib/midi2-workbench/output/fa/svgs/solid/film.svg usr/lib/midi2-workbench/output/fa/svgs/solid/filter.svg usr/lib/midi2-workbench/output/fa/svgs/solid/fingerprint.svg usr/lib/midi2-workbench/output/fa/svgs/solid/fire-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/fire-extinguisher.svg usr/lib/midi2-workbench/output/fa/svgs/solid/fire.svg usr/lib/midi2-workbench/output/fa/svgs/solid/first-aid.svg usr/lib/midi2-workbench/output/fa/svgs/solid/fish.svg usr/lib/midi2-workbench/output/fa/svgs/solid/fist-raised.svg usr/lib/midi2-workbench/output/fa/svgs/solid/flag-checkered.svg usr/lib/midi2-workbench/output/fa/svgs/solid/flag-usa.svg usr/lib/midi2-workbench/output/fa/svgs/solid/flag.svg usr/lib/midi2-workbench/output/fa/svgs/solid/flask.svg usr/lib/midi2-workbench/output/fa/svgs/solid/flushed.svg usr/lib/midi2-workbench/output/fa/svgs/solid/folder-minus.svg usr/lib/midi2-workbench/output/fa/svgs/solid/folder-open.svg usr/lib/midi2-workbench/output/fa/svgs/solid/folder-plus.svg usr/lib/midi2-workbench/output/fa/svgs/solid/folder.svg usr/lib/midi2-workbench/output/fa/svgs/solid/font-awesome-logo-full.svg usr/lib/midi2-workbench/output/fa/svgs/solid/font.svg usr/lib/midi2-workbench/output/fa/svgs/solid/football-ball.svg usr/lib/midi2-workbench/output/fa/svgs/solid/forward.svg usr/lib/midi2-workbench/output/fa/svgs/solid/frog.svg usr/lib/midi2-workbench/output/fa/svgs/solid/frown-open.svg usr/lib/midi2-workbench/output/fa/svgs/solid/frown.svg usr/lib/midi2-workbench/output/fa/svgs/solid/funnel-dollar.svg usr/lib/midi2-workbench/output/fa/svgs/solid/futbol.svg usr/lib/midi2-workbench/output/fa/svgs/solid/gamepad.svg usr/lib/midi2-workbench/output/fa/svgs/solid/gas-pump.svg usr/lib/midi2-workbench/output/fa/svgs/solid/gavel.svg usr/lib/midi2-workbench/output/fa/svgs/solid/gem.svg usr/lib/midi2-workbench/output/fa/svgs/solid/genderless.svg usr/lib/midi2-workbench/output/fa/svgs/solid/ghost.svg usr/lib/midi2-workbench/output/fa/svgs/solid/gift.svg usr/lib/midi2-workbench/output/fa/svgs/solid/gifts.svg usr/lib/midi2-workbench/output/fa/svgs/solid/glass-cheers.svg usr/lib/midi2-workbench/output/fa/svgs/solid/glass-martini-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/glass-martini.svg usr/lib/midi2-workbench/output/fa/svgs/solid/glass-whiskey.svg usr/lib/midi2-workbench/output/fa/svgs/solid/glasses.svg usr/lib/midi2-workbench/output/fa/svgs/solid/globe-africa.svg usr/lib/midi2-workbench/output/fa/svgs/solid/globe-americas.svg usr/lib/midi2-workbench/output/fa/svgs/solid/globe-asia.svg usr/lib/midi2-workbench/output/fa/svgs/solid/globe-europe.svg usr/lib/midi2-workbench/output/fa/svgs/solid/globe.svg usr/lib/midi2-workbench/output/fa/svgs/solid/golf-ball.svg usr/lib/midi2-workbench/output/fa/svgs/solid/gopuram.svg usr/lib/midi2-workbench/output/fa/svgs/solid/graduation-cap.svg usr/lib/midi2-workbench/output/fa/svgs/solid/greater-than-equal.svg usr/lib/midi2-workbench/output/fa/svgs/solid/greater-than.svg usr/lib/midi2-workbench/output/fa/svgs/solid/grimace.svg usr/lib/midi2-workbench/output/fa/svgs/solid/grin-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/grin-beam-sweat.svg usr/lib/midi2-workbench/output/fa/svgs/solid/grin-beam.svg usr/lib/midi2-workbench/output/fa/svgs/solid/grin-hearts.svg usr/lib/midi2-workbench/output/fa/svgs/solid/grin-squint-tears.svg usr/lib/midi2-workbench/output/fa/svgs/solid/grin-squint.svg usr/lib/midi2-workbench/output/fa/svgs/solid/grin-stars.svg usr/lib/midi2-workbench/output/fa/svgs/solid/grin-tears.svg usr/lib/midi2-workbench/output/fa/svgs/solid/grin-tongue-squint.svg usr/lib/midi2-workbench/output/fa/svgs/solid/grin-tongue-wink.svg usr/lib/midi2-workbench/output/fa/svgs/solid/grin-tongue.svg usr/lib/midi2-workbench/output/fa/svgs/solid/grin-wink.svg usr/lib/midi2-workbench/output/fa/svgs/solid/grin.svg usr/lib/midi2-workbench/output/fa/svgs/solid/grip-horizontal.svg usr/lib/midi2-workbench/output/fa/svgs/solid/grip-lines-vertical.svg usr/lib/midi2-workbench/output/fa/svgs/solid/grip-lines.svg usr/lib/midi2-workbench/output/fa/svgs/solid/grip-vertical.svg usr/lib/midi2-workbench/output/fa/svgs/solid/guitar.svg usr/lib/midi2-workbench/output/fa/svgs/solid/h-square.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hamburger.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hammer.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hamsa.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hand-holding-heart.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hand-holding-usd.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hand-holding.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hand-lizard.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hand-middle-finger.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hand-paper.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hand-peace.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hand-point-down.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hand-point-left.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hand-point-right.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hand-point-up.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hand-pointer.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hand-rock.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hand-scissors.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hand-spock.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hands-helping.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hands.svg usr/lib/midi2-workbench/output/fa/svgs/solid/handshake.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hanukiah.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hard-hat.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hashtag.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hat-cowboy-side.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hat-cowboy.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hat-wizard.svg usr/lib/midi2-workbench/output/fa/svgs/solid/haykal.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hdd.svg usr/lib/midi2-workbench/output/fa/svgs/solid/heading.svg usr/lib/midi2-workbench/output/fa/svgs/solid/headphones-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/headphones.svg usr/lib/midi2-workbench/output/fa/svgs/solid/headset.svg usr/lib/midi2-workbench/output/fa/svgs/solid/heart-broken.svg usr/lib/midi2-workbench/output/fa/svgs/solid/heart.svg usr/lib/midi2-workbench/output/fa/svgs/solid/heartbeat.svg usr/lib/midi2-workbench/output/fa/svgs/solid/helicopter.svg usr/lib/midi2-workbench/output/fa/svgs/solid/highlighter.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hiking.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hippo.svg usr/lib/midi2-workbench/output/fa/svgs/solid/history.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hockey-puck.svg usr/lib/midi2-workbench/output/fa/svgs/solid/holly-berry.svg usr/lib/midi2-workbench/output/fa/svgs/solid/home.svg usr/lib/midi2-workbench/output/fa/svgs/solid/horse-head.svg usr/lib/midi2-workbench/output/fa/svgs/solid/horse.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hospital-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hospital-symbol.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hospital.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hot-tub.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hotdog.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hotel.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hourglass-end.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hourglass-half.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hourglass-start.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hourglass.svg usr/lib/midi2-workbench/output/fa/svgs/solid/house-damage.svg usr/lib/midi2-workbench/output/fa/svgs/solid/hryvnia.svg usr/lib/midi2-workbench/output/fa/svgs/solid/i-cursor.svg usr/lib/midi2-workbench/output/fa/svgs/solid/ice-cream.svg usr/lib/midi2-workbench/output/fa/svgs/solid/icicles.svg usr/lib/midi2-workbench/output/fa/svgs/solid/icons.svg usr/lib/midi2-workbench/output/fa/svgs/solid/id-badge.svg usr/lib/midi2-workbench/output/fa/svgs/solid/id-card-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/id-card.svg usr/lib/midi2-workbench/output/fa/svgs/solid/igloo.svg usr/lib/midi2-workbench/output/fa/svgs/solid/image.svg usr/lib/midi2-workbench/output/fa/svgs/solid/images.svg usr/lib/midi2-workbench/output/fa/svgs/solid/inbox.svg usr/lib/midi2-workbench/output/fa/svgs/solid/indent.svg usr/lib/midi2-workbench/output/fa/svgs/solid/industry.svg usr/lib/midi2-workbench/output/fa/svgs/solid/infinity.svg usr/lib/midi2-workbench/output/fa/svgs/solid/info-circle.svg usr/lib/midi2-workbench/output/fa/svgs/solid/info.svg usr/lib/midi2-workbench/output/fa/svgs/solid/italic.svg usr/lib/midi2-workbench/output/fa/svgs/solid/jedi.svg usr/lib/midi2-workbench/output/fa/svgs/solid/joint.svg usr/lib/midi2-workbench/output/fa/svgs/solid/journal-whills.svg usr/lib/midi2-workbench/output/fa/svgs/solid/kaaba.svg usr/lib/midi2-workbench/output/fa/svgs/solid/key.svg usr/lib/midi2-workbench/output/fa/svgs/solid/keyboard.svg usr/lib/midi2-workbench/output/fa/svgs/solid/khanda.svg usr/lib/midi2-workbench/output/fa/svgs/solid/kiss-beam.svg usr/lib/midi2-workbench/output/fa/svgs/solid/kiss-wink-heart.svg usr/lib/midi2-workbench/output/fa/svgs/solid/kiss.svg usr/lib/midi2-workbench/output/fa/svgs/solid/kiwi-bird.svg usr/lib/midi2-workbench/output/fa/svgs/solid/landmark.svg usr/lib/midi2-workbench/output/fa/svgs/solid/language.svg usr/lib/midi2-workbench/output/fa/svgs/solid/laptop-code.svg usr/lib/midi2-workbench/output/fa/svgs/solid/laptop-medical.svg usr/lib/midi2-workbench/output/fa/svgs/solid/laptop.svg usr/lib/midi2-workbench/output/fa/svgs/solid/laugh-beam.svg usr/lib/midi2-workbench/output/fa/svgs/solid/laugh-squint.svg usr/lib/midi2-workbench/output/fa/svgs/solid/laugh-wink.svg usr/lib/midi2-workbench/output/fa/svgs/solid/laugh.svg usr/lib/midi2-workbench/output/fa/svgs/solid/layer-group.svg usr/lib/midi2-workbench/output/fa/svgs/solid/leaf.svg usr/lib/midi2-workbench/output/fa/svgs/solid/lemon.svg usr/lib/midi2-workbench/output/fa/svgs/solid/less-than-equal.svg usr/lib/midi2-workbench/output/fa/svgs/solid/less-than.svg usr/lib/midi2-workbench/output/fa/svgs/solid/level-down-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/level-up-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/life-ring.svg usr/lib/midi2-workbench/output/fa/svgs/solid/lightbulb.svg usr/lib/midi2-workbench/output/fa/svgs/solid/link.svg usr/lib/midi2-workbench/output/fa/svgs/solid/lira-sign.svg usr/lib/midi2-workbench/output/fa/svgs/solid/list-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/list-ol.svg usr/lib/midi2-workbench/output/fa/svgs/solid/list-ul.svg usr/lib/midi2-workbench/output/fa/svgs/solid/list.svg usr/lib/midi2-workbench/output/fa/svgs/solid/location-arrow.svg usr/lib/midi2-workbench/output/fa/svgs/solid/lock-open.svg usr/lib/midi2-workbench/output/fa/svgs/solid/lock.svg usr/lib/midi2-workbench/output/fa/svgs/solid/long-arrow-alt-down.svg usr/lib/midi2-workbench/output/fa/svgs/solid/long-arrow-alt-left.svg usr/lib/midi2-workbench/output/fa/svgs/solid/long-arrow-alt-right.svg usr/lib/midi2-workbench/output/fa/svgs/solid/long-arrow-alt-up.svg usr/lib/midi2-workbench/output/fa/svgs/solid/low-vision.svg usr/lib/midi2-workbench/output/fa/svgs/solid/luggage-cart.svg usr/lib/midi2-workbench/output/fa/svgs/solid/magic.svg usr/lib/midi2-workbench/output/fa/svgs/solid/magnet.svg usr/lib/midi2-workbench/output/fa/svgs/solid/mail-bulk.svg usr/lib/midi2-workbench/output/fa/svgs/solid/male.svg usr/lib/midi2-workbench/output/fa/svgs/solid/map-marked-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/map-marked.svg usr/lib/midi2-workbench/output/fa/svgs/solid/map-marker-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/map-marker.svg usr/lib/midi2-workbench/output/fa/svgs/solid/map-pin.svg usr/lib/midi2-workbench/output/fa/svgs/solid/map-signs.svg usr/lib/midi2-workbench/output/fa/svgs/solid/map.svg usr/lib/midi2-workbench/output/fa/svgs/solid/marker.svg usr/lib/midi2-workbench/output/fa/svgs/solid/mars-double.svg usr/lib/midi2-workbench/output/fa/svgs/solid/mars-stroke-h.svg usr/lib/midi2-workbench/output/fa/svgs/solid/mars-stroke-v.svg usr/lib/midi2-workbench/output/fa/svgs/solid/mars-stroke.svg usr/lib/midi2-workbench/output/fa/svgs/solid/mars.svg usr/lib/midi2-workbench/output/fa/svgs/solid/mask.svg usr/lib/midi2-workbench/output/fa/svgs/solid/medal.svg usr/lib/midi2-workbench/output/fa/svgs/solid/medkit.svg usr/lib/midi2-workbench/output/fa/svgs/solid/meh-blank.svg usr/lib/midi2-workbench/output/fa/svgs/solid/meh-rolling-eyes.svg usr/lib/midi2-workbench/output/fa/svgs/solid/meh.svg usr/lib/midi2-workbench/output/fa/svgs/solid/memory.svg usr/lib/midi2-workbench/output/fa/svgs/solid/menorah.svg usr/lib/midi2-workbench/output/fa/svgs/solid/mercury.svg usr/lib/midi2-workbench/output/fa/svgs/solid/meteor.svg usr/lib/midi2-workbench/output/fa/svgs/solid/microchip.svg usr/lib/midi2-workbench/output/fa/svgs/solid/microphone-alt-slash.svg usr/lib/midi2-workbench/output/fa/svgs/solid/microphone-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/microphone-slash.svg usr/lib/midi2-workbench/output/fa/svgs/solid/microphone.svg usr/lib/midi2-workbench/output/fa/svgs/solid/microscope.svg usr/lib/midi2-workbench/output/fa/svgs/solid/minus-circle.svg usr/lib/midi2-workbench/output/fa/svgs/solid/minus-square.svg usr/lib/midi2-workbench/output/fa/svgs/solid/minus.svg usr/lib/midi2-workbench/output/fa/svgs/solid/mitten.svg usr/lib/midi2-workbench/output/fa/svgs/solid/mobile-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/mobile.svg usr/lib/midi2-workbench/output/fa/svgs/solid/money-bill-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/money-bill-wave-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/money-bill-wave.svg usr/lib/midi2-workbench/output/fa/svgs/solid/money-bill.svg usr/lib/midi2-workbench/output/fa/svgs/solid/money-check-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/money-check.svg usr/lib/midi2-workbench/output/fa/svgs/solid/monument.svg usr/lib/midi2-workbench/output/fa/svgs/solid/moon.svg usr/lib/midi2-workbench/output/fa/svgs/solid/mortar-pestle.svg usr/lib/midi2-workbench/output/fa/svgs/solid/mosque.svg usr/lib/midi2-workbench/output/fa/svgs/solid/motorcycle.svg usr/lib/midi2-workbench/output/fa/svgs/solid/mountain.svg usr/lib/midi2-workbench/output/fa/svgs/solid/mouse-pointer.svg usr/lib/midi2-workbench/output/fa/svgs/solid/mouse.svg usr/lib/midi2-workbench/output/fa/svgs/solid/mug-hot.svg usr/lib/midi2-workbench/output/fa/svgs/solid/music.svg usr/lib/midi2-workbench/output/fa/svgs/solid/network-wired.svg usr/lib/midi2-workbench/output/fa/svgs/solid/neuter.svg usr/lib/midi2-workbench/output/fa/svgs/solid/newspaper.svg usr/lib/midi2-workbench/output/fa/svgs/solid/not-equal.svg usr/lib/midi2-workbench/output/fa/svgs/solid/notes-medical.svg usr/lib/midi2-workbench/output/fa/svgs/solid/object-group.svg usr/lib/midi2-workbench/output/fa/svgs/solid/object-ungroup.svg usr/lib/midi2-workbench/output/fa/svgs/solid/oil-can.svg usr/lib/midi2-workbench/output/fa/svgs/solid/om.svg usr/lib/midi2-workbench/output/fa/svgs/solid/otter.svg usr/lib/midi2-workbench/output/fa/svgs/solid/outdent.svg usr/lib/midi2-workbench/output/fa/svgs/solid/pager.svg usr/lib/midi2-workbench/output/fa/svgs/solid/paint-brush.svg usr/lib/midi2-workbench/output/fa/svgs/solid/paint-roller.svg usr/lib/midi2-workbench/output/fa/svgs/solid/palette.svg usr/lib/midi2-workbench/output/fa/svgs/solid/pallet.svg usr/lib/midi2-workbench/output/fa/svgs/solid/paper-plane.svg usr/lib/midi2-workbench/output/fa/svgs/solid/paperclip.svg usr/lib/midi2-workbench/output/fa/svgs/solid/parachute-box.svg usr/lib/midi2-workbench/output/fa/svgs/solid/paragraph.svg usr/lib/midi2-workbench/output/fa/svgs/solid/parking.svg usr/lib/midi2-workbench/output/fa/svgs/solid/passport.svg usr/lib/midi2-workbench/output/fa/svgs/solid/pastafarianism.svg usr/lib/midi2-workbench/output/fa/svgs/solid/paste.svg usr/lib/midi2-workbench/output/fa/svgs/solid/pause-circle.svg usr/lib/midi2-workbench/output/fa/svgs/solid/pause.svg usr/lib/midi2-workbench/output/fa/svgs/solid/paw.svg usr/lib/midi2-workbench/output/fa/svgs/solid/peace.svg usr/lib/midi2-workbench/output/fa/svgs/solid/pen-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/pen-fancy.svg usr/lib/midi2-workbench/output/fa/svgs/solid/pen-nib.svg usr/lib/midi2-workbench/output/fa/svgs/solid/pen-square.svg usr/lib/midi2-workbench/output/fa/svgs/solid/pen.svg usr/lib/midi2-workbench/output/fa/svgs/solid/pencil-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/pencil-ruler.svg usr/lib/midi2-workbench/output/fa/svgs/solid/people-carry.svg usr/lib/midi2-workbench/output/fa/svgs/solid/pepper-hot.svg usr/lib/midi2-workbench/output/fa/svgs/solid/percent.svg usr/lib/midi2-workbench/output/fa/svgs/solid/percentage.svg usr/lib/midi2-workbench/output/fa/svgs/solid/person-booth.svg usr/lib/midi2-workbench/output/fa/svgs/solid/phone-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/phone-slash.svg usr/lib/midi2-workbench/output/fa/svgs/solid/phone-square-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/phone-square.svg usr/lib/midi2-workbench/output/fa/svgs/solid/phone-volume.svg usr/lib/midi2-workbench/output/fa/svgs/solid/phone.svg usr/lib/midi2-workbench/output/fa/svgs/solid/photo-video.svg usr/lib/midi2-workbench/output/fa/svgs/solid/piggy-bank.svg usr/lib/midi2-workbench/output/fa/svgs/solid/pills.svg usr/lib/midi2-workbench/output/fa/svgs/solid/pizza-slice.svg usr/lib/midi2-workbench/output/fa/svgs/solid/place-of-worship.svg usr/lib/midi2-workbench/output/fa/svgs/solid/plane-arrival.svg usr/lib/midi2-workbench/output/fa/svgs/solid/plane-departure.svg usr/lib/midi2-workbench/output/fa/svgs/solid/plane.svg usr/lib/midi2-workbench/output/fa/svgs/solid/play-circle.svg usr/lib/midi2-workbench/output/fa/svgs/solid/play.svg usr/lib/midi2-workbench/output/fa/svgs/solid/plug.svg usr/lib/midi2-workbench/output/fa/svgs/solid/plus-circle.svg usr/lib/midi2-workbench/output/fa/svgs/solid/plus-square.svg usr/lib/midi2-workbench/output/fa/svgs/solid/plus.svg usr/lib/midi2-workbench/output/fa/svgs/solid/podcast.svg usr/lib/midi2-workbench/output/fa/svgs/solid/poll-h.svg usr/lib/midi2-workbench/output/fa/svgs/solid/poll.svg usr/lib/midi2-workbench/output/fa/svgs/solid/poo-storm.svg usr/lib/midi2-workbench/output/fa/svgs/solid/poo.svg usr/lib/midi2-workbench/output/fa/svgs/solid/poop.svg usr/lib/midi2-workbench/output/fa/svgs/solid/portrait.svg usr/lib/midi2-workbench/output/fa/svgs/solid/pound-sign.svg usr/lib/midi2-workbench/output/fa/svgs/solid/power-off.svg usr/lib/midi2-workbench/output/fa/svgs/solid/pray.svg usr/lib/midi2-workbench/output/fa/svgs/solid/praying-hands.svg usr/lib/midi2-workbench/output/fa/svgs/solid/prescription-bottle-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/prescription-bottle.svg usr/lib/midi2-workbench/output/fa/svgs/solid/prescription.svg usr/lib/midi2-workbench/output/fa/svgs/solid/print.svg usr/lib/midi2-workbench/output/fa/svgs/solid/procedures.svg usr/lib/midi2-workbench/output/fa/svgs/solid/project-diagram.svg usr/lib/midi2-workbench/output/fa/svgs/solid/puzzle-piece.svg usr/lib/midi2-workbench/output/fa/svgs/solid/qrcode.svg usr/lib/midi2-workbench/output/fa/svgs/solid/question-circle.svg usr/lib/midi2-workbench/output/fa/svgs/solid/question.svg usr/lib/midi2-workbench/output/fa/svgs/solid/quidditch.svg usr/lib/midi2-workbench/output/fa/svgs/solid/quote-left.svg usr/lib/midi2-workbench/output/fa/svgs/solid/quote-right.svg usr/lib/midi2-workbench/output/fa/svgs/solid/quran.svg usr/lib/midi2-workbench/output/fa/svgs/solid/radiation-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/radiation.svg usr/lib/midi2-workbench/output/fa/svgs/solid/rainbow.svg usr/lib/midi2-workbench/output/fa/svgs/solid/random.svg usr/lib/midi2-workbench/output/fa/svgs/solid/receipt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/record-vinyl.svg usr/lib/midi2-workbench/output/fa/svgs/solid/recycle.svg usr/lib/midi2-workbench/output/fa/svgs/solid/redo-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/redo.svg usr/lib/midi2-workbench/output/fa/svgs/solid/registered.svg usr/lib/midi2-workbench/output/fa/svgs/solid/remove-format.svg usr/lib/midi2-workbench/output/fa/svgs/solid/reply-all.svg usr/lib/midi2-workbench/output/fa/svgs/solid/reply.svg usr/lib/midi2-workbench/output/fa/svgs/solid/republican.svg usr/lib/midi2-workbench/output/fa/svgs/solid/restroom.svg usr/lib/midi2-workbench/output/fa/svgs/solid/retweet.svg usr/lib/midi2-workbench/output/fa/svgs/solid/ribbon.svg usr/lib/midi2-workbench/output/fa/svgs/solid/ring.svg usr/lib/midi2-workbench/output/fa/svgs/solid/road.svg usr/lib/midi2-workbench/output/fa/svgs/solid/robot.svg usr/lib/midi2-workbench/output/fa/svgs/solid/rocket.svg usr/lib/midi2-workbench/output/fa/svgs/solid/route.svg usr/lib/midi2-workbench/output/fa/svgs/solid/rss-square.svg usr/lib/midi2-workbench/output/fa/svgs/solid/rss.svg usr/lib/midi2-workbench/output/fa/svgs/solid/ruble-sign.svg usr/lib/midi2-workbench/output/fa/svgs/solid/ruler-combined.svg usr/lib/midi2-workbench/output/fa/svgs/solid/ruler-horizontal.svg usr/lib/midi2-workbench/output/fa/svgs/solid/ruler-vertical.svg usr/lib/midi2-workbench/output/fa/svgs/solid/ruler.svg usr/lib/midi2-workbench/output/fa/svgs/solid/running.svg usr/lib/midi2-workbench/output/fa/svgs/solid/rupee-sign.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sad-cry.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sad-tear.svg usr/lib/midi2-workbench/output/fa/svgs/solid/satellite-dish.svg usr/lib/midi2-workbench/output/fa/svgs/solid/satellite.svg usr/lib/midi2-workbench/output/fa/svgs/solid/save.svg usr/lib/midi2-workbench/output/fa/svgs/solid/school.svg usr/lib/midi2-workbench/output/fa/svgs/solid/screwdriver.svg usr/lib/midi2-workbench/output/fa/svgs/solid/scroll.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sd-card.svg usr/lib/midi2-workbench/output/fa/svgs/solid/search-dollar.svg usr/lib/midi2-workbench/output/fa/svgs/solid/search-location.svg usr/lib/midi2-workbench/output/fa/svgs/solid/search-minus.svg usr/lib/midi2-workbench/output/fa/svgs/solid/search-plus.svg usr/lib/midi2-workbench/output/fa/svgs/solid/search.svg usr/lib/midi2-workbench/output/fa/svgs/solid/seedling.svg usr/lib/midi2-workbench/output/fa/svgs/solid/server.svg usr/lib/midi2-workbench/output/fa/svgs/solid/shapes.svg usr/lib/midi2-workbench/output/fa/svgs/solid/share-alt-square.svg usr/lib/midi2-workbench/output/fa/svgs/solid/share-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/share-square.svg usr/lib/midi2-workbench/output/fa/svgs/solid/share.svg usr/lib/midi2-workbench/output/fa/svgs/solid/shekel-sign.svg usr/lib/midi2-workbench/output/fa/svgs/solid/shield-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/ship.svg usr/lib/midi2-workbench/output/fa/svgs/solid/shipping-fast.svg usr/lib/midi2-workbench/output/fa/svgs/solid/shoe-prints.svg usr/lib/midi2-workbench/output/fa/svgs/solid/shopping-bag.svg usr/lib/midi2-workbench/output/fa/svgs/solid/shopping-basket.svg usr/lib/midi2-workbench/output/fa/svgs/solid/shopping-cart.svg usr/lib/midi2-workbench/output/fa/svgs/solid/shower.svg usr/lib/midi2-workbench/output/fa/svgs/solid/shuttle-van.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sign-in-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sign-language.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sign-out-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sign.svg usr/lib/midi2-workbench/output/fa/svgs/solid/signal.svg usr/lib/midi2-workbench/output/fa/svgs/solid/signature.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sim-card.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sitemap.svg usr/lib/midi2-workbench/output/fa/svgs/solid/skating.svg usr/lib/midi2-workbench/output/fa/svgs/solid/skiing-nordic.svg usr/lib/midi2-workbench/output/fa/svgs/solid/skiing.svg usr/lib/midi2-workbench/output/fa/svgs/solid/skull-crossbones.svg usr/lib/midi2-workbench/output/fa/svgs/solid/skull.svg usr/lib/midi2-workbench/output/fa/svgs/solid/slash.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sleigh.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sliders-h.svg usr/lib/midi2-workbench/output/fa/svgs/solid/smile-beam.svg usr/lib/midi2-workbench/output/fa/svgs/solid/smile-wink.svg usr/lib/midi2-workbench/output/fa/svgs/solid/smile.svg usr/lib/midi2-workbench/output/fa/svgs/solid/smog.svg usr/lib/midi2-workbench/output/fa/svgs/solid/smoking-ban.svg usr/lib/midi2-workbench/output/fa/svgs/solid/smoking.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sms.svg usr/lib/midi2-workbench/output/fa/svgs/solid/snowboarding.svg usr/lib/midi2-workbench/output/fa/svgs/solid/snowflake.svg usr/lib/midi2-workbench/output/fa/svgs/solid/snowman.svg usr/lib/midi2-workbench/output/fa/svgs/solid/snowplow.svg usr/lib/midi2-workbench/output/fa/svgs/solid/socks.svg usr/lib/midi2-workbench/output/fa/svgs/solid/solar-panel.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sort-alpha-down-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sort-alpha-down.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sort-alpha-up-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sort-alpha-up.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sort-amount-down-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sort-amount-down.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sort-amount-up-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sort-amount-up.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sort-down.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sort-numeric-down-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sort-numeric-down.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sort-numeric-up-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sort-numeric-up.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sort-up.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sort.svg usr/lib/midi2-workbench/output/fa/svgs/solid/spa.svg usr/lib/midi2-workbench/output/fa/svgs/solid/space-shuttle.svg usr/lib/midi2-workbench/output/fa/svgs/solid/spell-check.svg usr/lib/midi2-workbench/output/fa/svgs/solid/spider.svg usr/lib/midi2-workbench/output/fa/svgs/solid/spinner.svg usr/lib/midi2-workbench/output/fa/svgs/solid/splotch.svg usr/lib/midi2-workbench/output/fa/svgs/solid/spray-can.svg usr/lib/midi2-workbench/output/fa/svgs/solid/square-full.svg usr/lib/midi2-workbench/output/fa/svgs/solid/square-root-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/square.svg usr/lib/midi2-workbench/output/fa/svgs/solid/stamp.svg usr/lib/midi2-workbench/output/fa/svgs/solid/star-and-crescent.svg usr/lib/midi2-workbench/output/fa/svgs/solid/star-half-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/star-half.svg usr/lib/midi2-workbench/output/fa/svgs/solid/star-of-david.svg usr/lib/midi2-workbench/output/fa/svgs/solid/star-of-life.svg usr/lib/midi2-workbench/output/fa/svgs/solid/star.svg usr/lib/midi2-workbench/output/fa/svgs/solid/step-backward.svg usr/lib/midi2-workbench/output/fa/svgs/solid/step-forward.svg usr/lib/midi2-workbench/output/fa/svgs/solid/stethoscope.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sticky-note.svg usr/lib/midi2-workbench/output/fa/svgs/solid/stop-circle.svg usr/lib/midi2-workbench/output/fa/svgs/solid/stop.svg usr/lib/midi2-workbench/output/fa/svgs/solid/stopwatch.svg usr/lib/midi2-workbench/output/fa/svgs/solid/store-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/store.svg usr/lib/midi2-workbench/output/fa/svgs/solid/stream.svg usr/lib/midi2-workbench/output/fa/svgs/solid/street-view.svg usr/lib/midi2-workbench/output/fa/svgs/solid/strikethrough.svg usr/lib/midi2-workbench/output/fa/svgs/solid/stroopwafel.svg usr/lib/midi2-workbench/output/fa/svgs/solid/subscript.svg usr/lib/midi2-workbench/output/fa/svgs/solid/subway.svg usr/lib/midi2-workbench/output/fa/svgs/solid/suitcase-rolling.svg usr/lib/midi2-workbench/output/fa/svgs/solid/suitcase.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sun.svg usr/lib/midi2-workbench/output/fa/svgs/solid/superscript.svg usr/lib/midi2-workbench/output/fa/svgs/solid/surprise.svg usr/lib/midi2-workbench/output/fa/svgs/solid/swatchbook.svg usr/lib/midi2-workbench/output/fa/svgs/solid/swimmer.svg usr/lib/midi2-workbench/output/fa/svgs/solid/swimming-pool.svg usr/lib/midi2-workbench/output/fa/svgs/solid/synagogue.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sync-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/sync.svg usr/lib/midi2-workbench/output/fa/svgs/solid/syringe.svg usr/lib/midi2-workbench/output/fa/svgs/solid/table-tennis.svg usr/lib/midi2-workbench/output/fa/svgs/solid/table.svg usr/lib/midi2-workbench/output/fa/svgs/solid/tablet-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/tablet.svg usr/lib/midi2-workbench/output/fa/svgs/solid/tablets.svg usr/lib/midi2-workbench/output/fa/svgs/solid/tachometer-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/tag.svg usr/lib/midi2-workbench/output/fa/svgs/solid/tags.svg usr/lib/midi2-workbench/output/fa/svgs/solid/tape.svg usr/lib/midi2-workbench/output/fa/svgs/solid/tasks.svg usr/lib/midi2-workbench/output/fa/svgs/solid/taxi.svg usr/lib/midi2-workbench/output/fa/svgs/solid/teeth-open.svg usr/lib/midi2-workbench/output/fa/svgs/solid/teeth.svg usr/lib/midi2-workbench/output/fa/svgs/solid/temperature-high.svg usr/lib/midi2-workbench/output/fa/svgs/solid/temperature-low.svg usr/lib/midi2-workbench/output/fa/svgs/solid/tenge.svg usr/lib/midi2-workbench/output/fa/svgs/solid/terminal.svg usr/lib/midi2-workbench/output/fa/svgs/solid/text-height.svg usr/lib/midi2-workbench/output/fa/svgs/solid/text-width.svg usr/lib/midi2-workbench/output/fa/svgs/solid/th-large.svg usr/lib/midi2-workbench/output/fa/svgs/solid/th-list.svg usr/lib/midi2-workbench/output/fa/svgs/solid/th.svg usr/lib/midi2-workbench/output/fa/svgs/solid/theater-masks.svg usr/lib/midi2-workbench/output/fa/svgs/solid/thermometer-empty.svg usr/lib/midi2-workbench/output/fa/svgs/solid/thermometer-full.svg usr/lib/midi2-workbench/output/fa/svgs/solid/thermometer-half.svg usr/lib/midi2-workbench/output/fa/svgs/solid/thermometer-quarter.svg usr/lib/midi2-workbench/output/fa/svgs/solid/thermometer-three-quarters.svg usr/lib/midi2-workbench/output/fa/svgs/solid/thermometer.svg usr/lib/midi2-workbench/output/fa/svgs/solid/thumbs-down.svg usr/lib/midi2-workbench/output/fa/svgs/solid/thumbs-up.svg usr/lib/midi2-workbench/output/fa/svgs/solid/thumbtack.svg usr/lib/midi2-workbench/output/fa/svgs/solid/ticket-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/times-circle.svg usr/lib/midi2-workbench/output/fa/svgs/solid/times.svg usr/lib/midi2-workbench/output/fa/svgs/solid/tint-slash.svg usr/lib/midi2-workbench/output/fa/svgs/solid/tint.svg usr/lib/midi2-workbench/output/fa/svgs/solid/tired.svg usr/lib/midi2-workbench/output/fa/svgs/solid/toggle-off.svg usr/lib/midi2-workbench/output/fa/svgs/solid/toggle-on.svg usr/lib/midi2-workbench/output/fa/svgs/solid/toilet-paper.svg usr/lib/midi2-workbench/output/fa/svgs/solid/toilet.svg usr/lib/midi2-workbench/output/fa/svgs/solid/toolbox.svg usr/lib/midi2-workbench/output/fa/svgs/solid/tools.svg usr/lib/midi2-workbench/output/fa/svgs/solid/tooth.svg usr/lib/midi2-workbench/output/fa/svgs/solid/torah.svg usr/lib/midi2-workbench/output/fa/svgs/solid/torii-gate.svg usr/lib/midi2-workbench/output/fa/svgs/solid/tractor.svg usr/lib/midi2-workbench/output/fa/svgs/solid/trademark.svg usr/lib/midi2-workbench/output/fa/svgs/solid/traffic-light.svg usr/lib/midi2-workbench/output/fa/svgs/solid/train.svg usr/lib/midi2-workbench/output/fa/svgs/solid/tram.svg usr/lib/midi2-workbench/output/fa/svgs/solid/transgender-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/transgender.svg usr/lib/midi2-workbench/output/fa/svgs/solid/trash-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/trash-restore-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/trash-restore.svg usr/lib/midi2-workbench/output/fa/svgs/solid/trash.svg usr/lib/midi2-workbench/output/fa/svgs/solid/tree.svg usr/lib/midi2-workbench/output/fa/svgs/solid/trophy.svg usr/lib/midi2-workbench/output/fa/svgs/solid/truck-loading.svg usr/lib/midi2-workbench/output/fa/svgs/solid/truck-monster.svg usr/lib/midi2-workbench/output/fa/svgs/solid/truck-moving.svg usr/lib/midi2-workbench/output/fa/svgs/solid/truck-pickup.svg usr/lib/midi2-workbench/output/fa/svgs/solid/truck.svg usr/lib/midi2-workbench/output/fa/svgs/solid/tshirt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/tty.svg usr/lib/midi2-workbench/output/fa/svgs/solid/tv.svg usr/lib/midi2-workbench/output/fa/svgs/solid/umbrella-beach.svg usr/lib/midi2-workbench/output/fa/svgs/solid/umbrella.svg usr/lib/midi2-workbench/output/fa/svgs/solid/underline.svg usr/lib/midi2-workbench/output/fa/svgs/solid/undo-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/undo.svg usr/lib/midi2-workbench/output/fa/svgs/solid/universal-access.svg usr/lib/midi2-workbench/output/fa/svgs/solid/university.svg usr/lib/midi2-workbench/output/fa/svgs/solid/unlink.svg usr/lib/midi2-workbench/output/fa/svgs/solid/unlock-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/unlock.svg usr/lib/midi2-workbench/output/fa/svgs/solid/upload.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-alt-slash.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-astronaut.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-check.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-circle.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-clock.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-cog.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-edit.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-friends.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-graduate.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-injured.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-lock.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-md.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-minus.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-ninja.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-nurse.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-plus.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-secret.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-shield.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-slash.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-tag.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-tie.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user-times.svg usr/lib/midi2-workbench/output/fa/svgs/solid/user.svg usr/lib/midi2-workbench/output/fa/svgs/solid/users-cog.svg usr/lib/midi2-workbench/output/fa/svgs/solid/users.svg usr/lib/midi2-workbench/output/fa/svgs/solid/utensil-spoon.svg usr/lib/midi2-workbench/output/fa/svgs/solid/utensils.svg usr/lib/midi2-workbench/output/fa/svgs/solid/vector-square.svg usr/lib/midi2-workbench/output/fa/svgs/solid/venus-double.svg usr/lib/midi2-workbench/output/fa/svgs/solid/venus-mars.svg usr/lib/midi2-workbench/output/fa/svgs/solid/venus.svg usr/lib/midi2-workbench/output/fa/svgs/solid/vial.svg usr/lib/midi2-workbench/output/fa/svgs/solid/vials.svg usr/lib/midi2-workbench/output/fa/svgs/solid/video-slash.svg usr/lib/midi2-workbench/output/fa/svgs/solid/video.svg usr/lib/midi2-workbench/output/fa/svgs/solid/vihara.svg usr/lib/midi2-workbench/output/fa/svgs/solid/voicemail.svg usr/lib/midi2-workbench/output/fa/svgs/solid/volleyball-ball.svg usr/lib/midi2-workbench/output/fa/svgs/solid/volume-down.svg usr/lib/midi2-workbench/output/fa/svgs/solid/volume-mute.svg usr/lib/midi2-workbench/output/fa/svgs/solid/volume-off.svg usr/lib/midi2-workbench/output/fa/svgs/solid/volume-up.svg usr/lib/midi2-workbench/output/fa/svgs/solid/vote-yea.svg usr/lib/midi2-workbench/output/fa/svgs/solid/vr-cardboard.svg usr/lib/midi2-workbench/output/fa/svgs/solid/walking.svg usr/lib/midi2-workbench/output/fa/svgs/solid/wallet.svg usr/lib/midi2-workbench/output/fa/svgs/solid/warehouse.svg usr/lib/midi2-workbench/output/fa/svgs/solid/water.svg usr/lib/midi2-workbench/output/fa/svgs/solid/wave-square.svg usr/lib/midi2-workbench/output/fa/svgs/solid/weight-hanging.svg usr/lib/midi2-workbench/output/fa/svgs/solid/weight.svg usr/lib/midi2-workbench/output/fa/svgs/solid/wheelchair.svg usr/lib/midi2-workbench/output/fa/svgs/solid/wifi.svg usr/lib/midi2-workbench/output/fa/svgs/solid/wind.svg usr/lib/midi2-workbench/output/fa/svgs/solid/window-close.svg usr/lib/midi2-workbench/output/fa/svgs/solid/window-maximize.svg usr/lib/midi2-workbench/output/fa/svgs/solid/window-minimize.svg usr/lib/midi2-workbench/output/fa/svgs/solid/window-restore.svg usr/lib/midi2-workbench/output/fa/svgs/solid/wine-bottle.svg usr/lib/midi2-workbench/output/fa/svgs/solid/wine-glass-alt.svg usr/lib/midi2-workbench/output/fa/svgs/solid/wine-glass.svg usr/lib/midi2-workbench/output/fa/svgs/solid/won-sign.svg usr/lib/midi2-workbench/output/fa/svgs/solid/wrench.svg usr/lib/midi2-workbench/output/fa/svgs/solid/x-ray.svg usr/lib/midi2-workbench/output/fa/svgs/solid/yen-sign.svg usr/lib/midi2-workbench/output/fa/svgs/solid/yin-yang.svg usr/lib/midi2-workbench/output/fa/webfonts/ usr/lib/midi2-workbench/output/fa/webfonts/fa-brands-400.eot usr/lib/midi2-workbench/output/fa/webfonts/fa-brands-400.svg usr/lib/midi2-workbench/output/fa/webfonts/fa-brands-400.ttf usr/lib/midi2-workbench/output/fa/webfonts/fa-brands-400.woff usr/lib/midi2-workbench/output/fa/webfonts/fa-brands-400.woff2 usr/lib/midi2-workbench/output/fa/webfonts/fa-regular-400.eot usr/lib/midi2-workbench/output/fa/webfonts/fa-regular-400.svg usr/lib/midi2-workbench/output/fa/webfonts/fa-regular-400.ttf usr/lib/midi2-workbench/output/fa/webfonts/fa-regular-400.woff usr/lib/midi2-workbench/output/fa/webfonts/fa-regular-400.woff2 usr/lib/midi2-workbench/output/fa/webfonts/fa-solid-900.eot usr/lib/midi2-workbench/output/fa/webfonts/fa-solid-900.svg usr/lib/midi2-workbench/output/fa/webfonts/fa-solid-900.ttf usr/lib/midi2-workbench/output/fa/webfonts/fa-solid-900.woff usr/lib/midi2-workbench/output/fa/webfonts/fa-solid-900.woff2 usr/lib/midi2-workbench/output/help/ usr/lib/midi2-workbench/output/help/AfterDiscovery.png usr/lib/midi2-workbench/output/help/checklistExample.png usr/lib/midi2-workbench/output/help/checklistUsertest.png usr/lib/midi2-workbench/output/help/cogIcon.png usr/lib/midi2-workbench/output/help/config.md usr/lib/midi2-workbench/output/help/configButton.png usr/lib/midi2-workbench/output/help/configPopup.png usr/lib/midi2-workbench/output/help/customDevice.png usr/lib/midi2-workbench/output/help/debug.md usr/lib/midi2-workbench/output/help/debugButton.png usr/lib/midi2-workbench/output/help/debugMidid1.png usr/lib/midi2-workbench/output/help/debugSysex7.png usr/lib/midi2-workbench/output/help/debugtoolmenu.png usr/lib/midi2-workbench/output/help/debugUMP.png usr/lib/midi2-workbench/output/help/discoverButton.png usr/lib/midi2-workbench/output/help/dochelp.html usr/lib/midi2-workbench/output/help/dochelp.js usr/lib/midi2-workbench/output/help/drawbarOrgan.png usr/lib/midi2-workbench/output/help/genralDetailsSidebar.png usr/lib/midi2-workbench/output/help/index.md usr/lib/midi2-workbench/output/help/InitialButtons.png usr/lib/midi2-workbench/output/help/InitialScreen.png usr/lib/midi2-workbench/output/help/logButton.png usr/lib/midi2-workbench/output/help/logoChecklist.md usr/lib/midi2-workbench/output/help/midiRefreshButton.png usr/lib/midi2-workbench/output/help/pe.md usr/lib/midi2-workbench/output/help/peChannelList.png usr/lib/midi2-workbench/output/help/pedebug.png usr/lib/midi2-workbench/output/help/peDeviceInfo.png usr/lib/midi2-workbench/output/help/peRawTest.png usr/lib/midi2-workbench/output/help/peRefresh.png usr/lib/midi2-workbench/output/help/peUserTest.png usr/lib/midi2-workbench/output/help/profiles.md usr/lib/midi2-workbench/output/help/profileUserTesting.png usr/lib/midi2-workbench/output/help/project.md usr/lib/midi2-workbench/output/help/protocol.md usr/lib/midi2-workbench/output/help/report.md usr/lib/midi2-workbench/output/help/settingsPopup.png usr/lib/midi2-workbench/output/help/sliderIcon.png usr/lib/midi2-workbench/output/help/testChecklist.png usr/lib/midi2-workbench/output/help/testFuncBlock.md usr/lib/midi2-workbench/output/help/testM1MidiCi.md usr/lib/midi2-workbench/output/help/testUMPDevice.md usr/lib/midi2-workbench/output/help/usb.md usr/lib/midi2-workbench/output/help/win2midi.md usr/lib/midi2-workbench/output/images/ usr/lib/midi2-workbench/output/images/amei.png usr/lib/midi2-workbench/output/images/logo.gif usr/lib/midi2-workbench/output/images/logoblue.gif usr/lib/midi2-workbench/output/images/MIDI20Logo.png usr/lib/midi2-workbench/output/images/midiassociation.png usr/lib/midi2-workbench/output/implementation.html usr/lib/midi2-workbench/output/index.html usr/lib/midi2-workbench/output/instruments/ usr/lib/midi2-workbench/output/instruments/drawbarSingle.html usr/lib/midi2-workbench/output/js/ usr/lib/midi2-workbench/output/js/bootstrap.bundle.js usr/lib/midi2-workbench/output/js/bootstrap.bundle.js.map usr/lib/midi2-workbench/output/js/bootstrap.bundle.min.js usr/lib/midi2-workbench/output/js/bootstrap.bundle.min.js.map usr/lib/midi2-workbench/output/js/bootstrap.js usr/lib/midi2-workbench/output/js/bootstrap.js.map usr/lib/midi2-workbench/output/js/bootstrap.min.js usr/lib/midi2-workbench/output/js/bootstrap.min.js.map usr/lib/midi2-workbench/output/js/jquery-3.3.1.slim.min.js usr/lib/midi2-workbench/output/js/jquery-ui.min.js usr/lib/midi2-workbench/output/js/jquery.js usr/lib/midi2-workbench/output/js/jquery.ui.hex_input.css usr/lib/midi2-workbench/output/js/jquery.ui.hex_input.js usr/lib/midi2-workbench/output/js/jsonform/ usr/lib/midi2-workbench/output/js/jsonform/brutusin-json-forms-bootstrap.js usr/lib/midi2-workbench/output/js/jsonform/brutusin-json-forms.css usr/lib/midi2-workbench/output/js/jsonform/brutusin-json-forms.js usr/lib/midi2-workbench/output/js/jstree/ usr/lib/midi2-workbench/output/js/jstree/jstree.js usr/lib/midi2-workbench/output/js/jstree/jstree.min.js usr/lib/midi2-workbench/output/js/jstree/themes/ usr/lib/midi2-workbench/output/js/jstree/themes/default-dark/ usr/lib/midi2-workbench/output/js/jstree/themes/default-dark/32px.png usr/lib/midi2-workbench/output/js/jstree/themes/default-dark/40px.png usr/lib/midi2-workbench/output/js/jstree/themes/default-dark/style.css usr/lib/midi2-workbench/output/js/jstree/themes/default-dark/style.min.css usr/lib/midi2-workbench/output/js/jstree/themes/default-dark/throbber.gif usr/lib/midi2-workbench/output/js/jstree/themes/default/ usr/lib/midi2-workbench/output/js/jstree/themes/default/32px.png usr/lib/midi2-workbench/output/js/jstree/themes/default/40px.png usr/lib/midi2-workbench/output/js/jstree/themes/default/style.css usr/lib/midi2-workbench/output/js/jstree/themes/default/style.min.css usr/lib/midi2-workbench/output/js/jstree/themes/default/throbber.gif usr/lib/midi2-workbench/output/js/NexusUI.js usr/lib/midi2-workbench/output/js/NexusUI.map usr/lib/midi2-workbench/output/js/NexusUI.min.js usr/lib/midi2-workbench/output/js/NexusUI.min.map usr/lib/midi2-workbench/output/js/popper.min.js usr/lib/midi2-workbench/output/project.html usr/lib/midi2-workbench/output/report.html usr/lib/midi2-workbench/output/selfCertification.html usr/lib/midi2-workbench/output/smf2.html usr/lib/midi2-workbench/output/svg/ usr/lib/midi2-workbench/output/svg/alert.svg usr/lib/midi2-workbench/output/svg/archive.svg usr/lib/midi2-workbench/output/svg/arrow-both.svg usr/lib/midi2-workbench/output/svg/arrow-down.svg usr/lib/midi2-workbench/output/svg/arrow-left.svg usr/lib/midi2-workbench/output/svg/arrow-right.svg usr/lib/midi2-workbench/output/svg/arrow-small-down.svg usr/lib/midi2-workbench/output/svg/arrow-small-left.svg usr/lib/midi2-workbench/output/svg/arrow-small-right.svg usr/lib/midi2-workbench/output/svg/arrow-small-up.svg usr/lib/midi2-workbench/output/svg/arrow-up.svg usr/lib/midi2-workbench/output/svg/beaker.svg usr/lib/midi2-workbench/output/svg/bell.svg usr/lib/midi2-workbench/output/svg/bold.svg usr/lib/midi2-workbench/output/svg/book.svg usr/lib/midi2-workbench/output/svg/bookmark.svg usr/lib/midi2-workbench/output/svg/briefcase.svg usr/lib/midi2-workbench/output/svg/broadcast.svg usr/lib/midi2-workbench/output/svg/browser.svg usr/lib/midi2-workbench/output/svg/bug.svg usr/lib/midi2-workbench/output/svg/calendar.svg usr/lib/midi2-workbench/output/svg/check.svg usr/lib/midi2-workbench/output/svg/checklist.svg usr/lib/midi2-workbench/output/svg/chevron-down.svg usr/lib/midi2-workbench/output/svg/chevron-left.svg usr/lib/midi2-workbench/output/svg/chevron-right.svg usr/lib/midi2-workbench/output/svg/chevron-up.svg usr/lib/midi2-workbench/output/svg/circle-slash.svg usr/lib/midi2-workbench/output/svg/circuit-board.svg usr/lib/midi2-workbench/output/svg/clippy.svg usr/lib/midi2-workbench/output/svg/clock.svg usr/lib/midi2-workbench/output/svg/cloud-download.svg usr/lib/midi2-workbench/output/svg/cloud-upload.svg usr/lib/midi2-workbench/output/svg/code.svg usr/lib/midi2-workbench/output/svg/comment-discussion.svg usr/lib/midi2-workbench/output/svg/comment.svg usr/lib/midi2-workbench/output/svg/credit-card.svg usr/lib/midi2-workbench/output/svg/dash.svg usr/lib/midi2-workbench/output/svg/dashboard.svg usr/lib/midi2-workbench/output/svg/database.svg usr/lib/midi2-workbench/output/svg/dependent.svg usr/lib/midi2-workbench/output/svg/desktop-download.svg usr/lib/midi2-workbench/output/svg/device-camera-video.svg usr/lib/midi2-workbench/output/svg/device-camera.svg usr/lib/midi2-workbench/output/svg/device-desktop.svg usr/lib/midi2-workbench/output/svg/device-mobile.svg usr/lib/midi2-workbench/output/svg/diff-added.svg usr/lib/midi2-workbench/output/svg/diff-ignored.svg usr/lib/midi2-workbench/output/svg/diff-modified.svg usr/lib/midi2-workbench/output/svg/diff-removed.svg usr/lib/midi2-workbench/output/svg/diff-renamed.svg usr/lib/midi2-workbench/output/svg/diff.svg usr/lib/midi2-workbench/output/svg/ellipsis.svg usr/lib/midi2-workbench/output/svg/eye-closed.svg usr/lib/midi2-workbench/output/svg/eye.svg usr/lib/midi2-workbench/output/svg/file-binary.svg usr/lib/midi2-workbench/output/svg/file-code.svg usr/lib/midi2-workbench/output/svg/file-directory.svg usr/lib/midi2-workbench/output/svg/file-media.svg usr/lib/midi2-workbench/output/svg/file-pdf.svg usr/lib/midi2-workbench/output/svg/file-submodule.svg usr/lib/midi2-workbench/output/svg/file-symlink-directory.svg usr/lib/midi2-workbench/output/svg/file-symlink-file.svg usr/lib/midi2-workbench/output/svg/file-zip.svg usr/lib/midi2-workbench/output/svg/file.svg usr/lib/midi2-workbench/output/svg/flame.svg usr/lib/midi2-workbench/output/svg/fold-down.svg usr/lib/midi2-workbench/output/svg/fold-up.svg usr/lib/midi2-workbench/output/svg/fold.svg usr/lib/midi2-workbench/output/svg/gear.svg usr/lib/midi2-workbench/output/svg/gift.svg usr/lib/midi2-workbench/output/svg/gist-secret.svg usr/lib/midi2-workbench/output/svg/gist.svg usr/lib/midi2-workbench/output/svg/git-branch.svg usr/lib/midi2-workbench/output/svg/git-commit.svg usr/lib/midi2-workbench/output/svg/git-compare.svg usr/lib/midi2-workbench/output/svg/git-merge.svg usr/lib/midi2-workbench/output/svg/git-pull-request.svg usr/lib/midi2-workbench/output/svg/github-action.svg usr/lib/midi2-workbench/output/svg/globe.svg usr/lib/midi2-workbench/output/svg/grabber.svg usr/lib/midi2-workbench/output/svg/graph.svg usr/lib/midi2-workbench/output/svg/heart.svg usr/lib/midi2-workbench/output/svg/history.svg usr/lib/midi2-workbench/output/svg/home.svg usr/lib/midi2-workbench/output/svg/horizontal-rule.svg usr/lib/midi2-workbench/output/svg/hubot.svg usr/lib/midi2-workbench/output/svg/inbox.svg usr/lib/midi2-workbench/output/svg/info.svg usr/lib/midi2-workbench/output/svg/issue-closed.svg usr/lib/midi2-workbench/output/svg/issue-opened.svg usr/lib/midi2-workbench/output/svg/issue-reopened.svg usr/lib/midi2-workbench/output/svg/italic.svg usr/lib/midi2-workbench/output/svg/jersey.svg usr/lib/midi2-workbench/output/svg/kebab-horizontal.svg usr/lib/midi2-workbench/output/svg/kebab-vertical.svg usr/lib/midi2-workbench/output/svg/key.svg usr/lib/midi2-workbench/output/svg/keyboard.svg usr/lib/midi2-workbench/output/svg/law.svg usr/lib/midi2-workbench/output/svg/light-bulb.svg usr/lib/midi2-workbench/output/svg/link-external.svg usr/lib/midi2-workbench/output/svg/link.svg usr/lib/midi2-workbench/output/svg/list-ordered.svg usr/lib/midi2-workbench/output/svg/list-unordered.svg usr/lib/midi2-workbench/output/svg/location.svg usr/lib/midi2-workbench/output/svg/lock.svg usr/lib/midi2-workbench/output/svg/logo-gist.svg usr/lib/midi2-workbench/output/svg/logo-github.svg usr/lib/midi2-workbench/output/svg/mail-read.svg usr/lib/midi2-workbench/output/svg/mail.svg usr/lib/midi2-workbench/output/svg/mark-github.svg usr/lib/midi2-workbench/output/svg/markdown.svg usr/lib/midi2-workbench/output/svg/megaphone.svg usr/lib/midi2-workbench/output/svg/mention.svg usr/lib/midi2-workbench/output/svg/milestone.svg usr/lib/midi2-workbench/output/svg/mirror.svg usr/lib/midi2-workbench/output/svg/mortar-board.svg usr/lib/midi2-workbench/output/svg/mute.svg usr/lib/midi2-workbench/output/svg/no-newline.svg usr/lib/midi2-workbench/output/svg/note.svg usr/lib/midi2-workbench/output/svg/octoface.svg usr/lib/midi2-workbench/output/svg/organization.svg usr/lib/midi2-workbench/output/svg/package.svg usr/lib/midi2-workbench/output/svg/paintcan.svg usr/lib/midi2-workbench/output/svg/pencil.svg usr/lib/midi2-workbench/output/svg/person.svg usr/lib/midi2-workbench/output/svg/pin.svg usr/lib/midi2-workbench/output/svg/play.svg usr/lib/midi2-workbench/output/svg/plug.svg usr/lib/midi2-workbench/output/svg/plus-small.svg usr/lib/midi2-workbench/output/svg/plus.svg usr/lib/midi2-workbench/output/svg/primitive-dot.svg usr/lib/midi2-workbench/output/svg/primitive-square.svg usr/lib/midi2-workbench/output/svg/project.svg usr/lib/midi2-workbench/output/svg/pulse.svg usr/lib/midi2-workbench/output/svg/question.svg usr/lib/midi2-workbench/output/svg/quote.svg usr/lib/midi2-workbench/output/svg/radio-tower.svg usr/lib/midi2-workbench/output/svg/reply.svg usr/lib/midi2-workbench/output/svg/repo-clone.svg usr/lib/midi2-workbench/output/svg/repo-force-push.svg usr/lib/midi2-workbench/output/svg/repo-forked.svg usr/lib/midi2-workbench/output/svg/repo-pull.svg usr/lib/midi2-workbench/output/svg/repo-push.svg usr/lib/midi2-workbench/output/svg/repo-template-private.svg usr/lib/midi2-workbench/output/svg/repo-template.svg usr/lib/midi2-workbench/output/svg/repo.svg usr/lib/midi2-workbench/output/svg/report.svg usr/lib/midi2-workbench/output/svg/request-changes.svg usr/lib/midi2-workbench/output/svg/rocket.svg usr/lib/midi2-workbench/output/svg/rss.svg usr/lib/midi2-workbench/output/svg/ruby.svg usr/lib/midi2-workbench/output/svg/screen-full.svg usr/lib/midi2-workbench/output/svg/screen-normal.svg usr/lib/midi2-workbench/output/svg/search.svg usr/lib/midi2-workbench/output/svg/server.svg usr/lib/midi2-workbench/output/svg/settings.svg usr/lib/midi2-workbench/output/svg/shield-check.svg usr/lib/midi2-workbench/output/svg/shield-lock.svg usr/lib/midi2-workbench/output/svg/shield-x.svg usr/lib/midi2-workbench/output/svg/shield.svg usr/lib/midi2-workbench/output/svg/sign-in.svg usr/lib/midi2-workbench/output/svg/sign-out.svg usr/lib/midi2-workbench/output/svg/skip.svg usr/lib/midi2-workbench/output/svg/smiley.svg usr/lib/midi2-workbench/output/svg/squirrel.svg usr/lib/midi2-workbench/output/svg/star.svg usr/lib/midi2-workbench/output/svg/stop.svg usr/lib/midi2-workbench/output/svg/sync.svg usr/lib/midi2-workbench/output/svg/tag.svg usr/lib/midi2-workbench/output/svg/tasklist.svg usr/lib/midi2-workbench/output/svg/telescope.svg usr/lib/midi2-workbench/output/svg/terminal.svg usr/lib/midi2-workbench/output/svg/text-size.svg usr/lib/midi2-workbench/output/svg/three-bars.svg usr/lib/midi2-workbench/output/svg/thumbsdown.svg usr/lib/midi2-workbench/output/svg/thumbsup.svg usr/lib/midi2-workbench/output/svg/tools.svg usr/lib/midi2-workbench/output/svg/trashcan.svg usr/lib/midi2-workbench/output/svg/triangle-down.svg usr/lib/midi2-workbench/output/svg/triangle-left.svg usr/lib/midi2-workbench/output/svg/triangle-right.svg usr/lib/midi2-workbench/output/svg/triangle-up.svg usr/lib/midi2-workbench/output/svg/unfold.svg usr/lib/midi2-workbench/output/svg/unmute.svg usr/lib/midi2-workbench/output/svg/unverified.svg usr/lib/midi2-workbench/output/svg/verified.svg usr/lib/midi2-workbench/output/svg/versions.svg usr/lib/midi2-workbench/output/svg/watch.svg usr/lib/midi2-workbench/output/svg/x.svg usr/lib/midi2-workbench/output/svg/zap.svg usr/lib/midi2-workbench/output/tools.html usr/lib/midi2-workbench/package.json usr/lib/midi2-workbench/README.md usr/share/ usr/share/applications/ usr/share/applications/midi2-workbench.desktop usr/share/licenses/ usr/share/licenses/midi2-workbench/ usr/share/licenses/midi2-workbench/LICENSE usr/share/pixmaps/ usr/share/pixmaps/midi2-workbench.png
aarch64midimonster0.6-3Multi-protocol control & translation software
Close

midimonster 0.6-3


Architecture:aarch64
Base Package:midimonster (PKGBUILD)
Description:Multi-protocol control & translation software
Upstream URL:https://midimonster.net/
Groups:pro-audio
Download Size:115.8 kB (Download)
Installed Size:470.9 kB
License:BSD
Build Date:2022-03-31 08:52 UTC
Dependencies:alsa-lib: for the ALSA MIDI backend (optional)
jack: for the JACK backend (optional)
libevdev: for the evdev backend (optional)
lua: for the lua backend (optional)
ola: for the OLA backend (optional)
openssl: for the MA Web Remote backend (optional)
python: for the Python backend (optional)
alsa-lib (make)
jack (make)
libevdev (make)
lua (make)
ola (make)
openssl (make)
python (make)
Package Contents
etc/ etc/midimonster/ etc/midimonster/midimonster.cfg usr/ usr/bin/ usr/bin/midimonster usr/lib/ usr/lib/midimonster/ usr/lib/midimonster/artnet.so usr/lib/midimonster/evdev.so usr/lib/midimonster/jack.so usr/lib/midimonster/loopback.so usr/lib/midimonster/lua.so usr/lib/midimonster/maweb.so usr/lib/midimonster/midi.so usr/lib/midimonster/mqtt.so usr/lib/midimonster/ola.so usr/lib/midimonster/openpixelcontrol.so usr/lib/midimonster/osc.so usr/lib/midimonster/python.so usr/lib/midimonster/rtpmidi.so usr/lib/midimonster/sacn.so usr/lib/midimonster/visca.so usr/share/ usr/share/licenses/ usr/share/licenses/midimonster/ usr/share/licenses/midimonster/LICENSE.txt usr/share/man/ usr/share/man/man1/ usr/share/man/man1/midimonster.1.gz usr/share/midimonster/ usr/share/midimonster/demo.lua usr/share/midimonster/evdev.cfg usr/share/midimonster/flying-faders.cfg usr/share/midimonster/flying-faders.lua usr/share/midimonster/launchctl-sacn.cfg usr/share/midimonster/layering.cfg usr/share/midimonster/layering.lua usr/share/midimonster/lua.cfg usr/share/midimonster/maweb-flying-faders.cfg usr/share/midimonster/midi-gamepad.cfg usr/share/midimonster/midi-mouse.cfg usr/share/midimonster/midi-osc.cfg usr/share/midimonster/osc-artnet.cfg usr/share/midimonster/osc-kbd.cfg usr/share/midimonster/osc-xy.cfg usr/share/midimonster/print.lua usr/share/midimonster/pyexample.py usr/share/midimonster/returnone.lua usr/share/midimonster/rtpmidi.cfg usr/share/midimonster/scripting-example.cfg usr/share/midimonster/trackpad.lua usr/share/midimonster/unifest-17.cfg usr/share/midimonster/visca.cfg
x86_64midimonster0.6-3Multi-protocol control & translation software
Close

midimonster 0.6-3


Architecture:x86_64
Base Package:midimonster (PKGBUILD)
Description:Multi-protocol control & translation software
Upstream URL:https://midimonster.net/
Groups:pro-audio
Download Size:143.8 kB (Download)
Installed Size:494.7 kB
License:BSD
Build Date:2022-04-19 10:27 UTC
Dependencies:alsa-lib: for the ALSA MIDI backend (optional)
jack: for the JACK backend (optional)
libevdev: for the evdev backend (optional)
lua: for the lua backend (optional)
ola: for the OLA backend (optional)
openssl: for the MA Web Remote backend (optional)
python: for the Python backend (optional)
alsa-lib (make)
jack (make)
libevdev (make)
lua (make)
ola (make)
openssl (make)
python (make)
Package Contents
etc/ etc/midimonster/ etc/midimonster/midimonster.cfg usr/ usr/bin/ usr/bin/midimonster usr/lib/ usr/lib/midimonster/ usr/lib/midimonster/artnet.so usr/lib/midimonster/evdev.so usr/lib/midimonster/jack.so usr/lib/midimonster/loopback.so usr/lib/midimonster/lua.so usr/lib/midimonster/maweb.so usr/lib/midimonster/midi.so usr/lib/midimonster/mqtt.so usr/lib/midimonster/ola.so usr/lib/midimonster/openpixelcontrol.so usr/lib/midimonster/osc.so usr/lib/midimonster/python.so usr/lib/midimonster/rtpmidi.so usr/lib/midimonster/sacn.so usr/lib/midimonster/visca.so usr/share/ usr/share/licenses/ usr/share/licenses/midimonster/ usr/share/licenses/midimonster/LICENSE.txt usr/share/man/ usr/share/man/man1/ usr/share/man/man1/midimonster.1.gz usr/share/midimonster/ usr/share/midimonster/demo.lua usr/share/midimonster/evdev.cfg usr/share/midimonster/flying-faders.cfg usr/share/midimonster/flying-faders.lua usr/share/midimonster/launchctl-sacn.cfg usr/share/midimonster/layering.cfg usr/share/midimonster/layering.lua usr/share/midimonster/lua.cfg usr/share/midimonster/maweb-flying-faders.cfg usr/share/midimonster/midi-gamepad.cfg usr/share/midimonster/midi-mouse.cfg usr/share/midimonster/midi-osc.cfg usr/share/midimonster/osc-artnet.cfg usr/share/midimonster/osc-kbd.cfg usr/share/midimonster/osc-xy.cfg usr/share/midimonster/print.lua usr/share/midimonster/pyexample.py usr/share/midimonster/returnone.lua usr/share/midimonster/rtpmidi.cfg usr/share/midimonster/scripting-example.cfg usr/share/midimonster/trackpad.lua usr/share/midimonster/unifest-17.cfg usr/share/midimonster/visca.cfg
aarch64midiomatic0.2.1-3A collection of MIDI filter, generator and processor LV2 and VST2 plugins
Close

midiomatic 0.2.1-3


Architecture:aarch64
Base Package:midiomatic (PKGBUILD)
Description:A collection of MIDI filter, generator and processor LV2 and VST2 plugins
Upstream URL:https://github.com/SpotlightKid/midiomatic
Groups:lv2-plugins
pro-audio
vst-plugins
Download Size:109.1 kB (Download)
Installed Size:806.5 kB
License:MIT
Build Date:2022-07-08 21:38 UTC
Dependencies:gcc-libs
lv2-host: for LV2 plugins (optional)
vst-host: for VST plugins (optional)
kxstudio-lv2-extensions (check)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/midiccmapx4.lv2/ usr/lib/lv2/midiccmapx4.lv2/manifest.ttl usr/lib/lv2/midiccmapx4.lv2/midiccmapx4_dsp.so usr/lib/lv2/midiccmapx4.lv2/midiccmapx4_dsp.ttl usr/lib/lv2/midiccmapx4.lv2/presets.ttl usr/lib/lv2/midiccrecorder.lv2/ usr/lib/lv2/midiccrecorder.lv2/manifest.ttl usr/lib/lv2/midiccrecorder.lv2/midiccrecorder_dsp.so usr/lib/lv2/midiccrecorder.lv2/midiccrecorder_dsp.ttl usr/lib/lv2/midiccrecorder.lv2/presets.ttl usr/lib/lv2/midicctopressure.lv2/ usr/lib/lv2/midicctopressure.lv2/manifest.ttl usr/lib/lv2/midicctopressure.lv2/midicctopressure_dsp.so usr/lib/lv2/midicctopressure.lv2/midicctopressure_dsp.ttl usr/lib/lv2/midicctopressure.lv2/presets.ttl usr/lib/lv2/midipbtocc.lv2/ usr/lib/lv2/midipbtocc.lv2/manifest.ttl usr/lib/lv2/midipbtocc.lv2/midipbtocc_dsp.so usr/lib/lv2/midipbtocc.lv2/midipbtocc_dsp.ttl usr/lib/lv2/midipbtocc.lv2/presets.ttl usr/lib/lv2/midipressuretocc.lv2/ usr/lib/lv2/midipressuretocc.lv2/manifest.ttl usr/lib/lv2/midipressuretocc.lv2/midipressuretocc_dsp.so usr/lib/lv2/midipressuretocc.lv2/midipressuretocc_dsp.ttl usr/lib/lv2/midipressuretocc.lv2/presets.ttl usr/lib/lv2/midisysfilter.lv2/ usr/lib/lv2/midisysfilter.lv2/manifest.ttl usr/lib/lv2/midisysfilter.lv2/midisysfilter_dsp.so usr/lib/lv2/midisysfilter.lv2/midisysfilter_dsp.ttl usr/lib/lv2/midisysfilter.lv2/presets.ttl usr/lib/vst/ usr/lib/vst/midiccmapx4-vst.so usr/lib/vst/midiccrecorder-vst.so usr/lib/vst/midicctopressure-vst.so usr/lib/vst/midipbtocc-vst.so usr/lib/vst/midipressuretocc-vst.so usr/lib/vst/midisysfilter-vst.so usr/share/ usr/share/doc/ usr/share/doc/midiomatic/ usr/share/doc/midiomatic/README.md usr/share/licenses/ usr/share/licenses/midiomatic/ usr/share/licenses/midiomatic/LICENSE
x86_64midiomatic0.2.1-3A collection of MIDI filter, generator and processor LV2 and VST2 plugins
Close

midiomatic 0.2.1-3


Architecture:x86_64
Base Package:midiomatic (PKGBUILD)
Description:A collection of MIDI filter, generator and processor LV2 and VST2 plugins
Upstream URL:https://github.com/SpotlightKid/midiomatic
Groups:lv2-plugins
pro-audio
vst-plugins
Download Size:168.4 kB (Download)
Installed Size:867.4 kB
License:MIT
Build Date:2022-07-08 21:38 UTC
Dependencies:gcc-libs
lv2-host: for LV2 plugins (optional)
vst-host: for VST plugins (optional)
kxstudio-lv2-extensions (check)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/midiccmapx4.lv2/ usr/lib/lv2/midiccmapx4.lv2/manifest.ttl usr/lib/lv2/midiccmapx4.lv2/midiccmapx4_dsp.so usr/lib/lv2/midiccmapx4.lv2/midiccmapx4_dsp.ttl usr/lib/lv2/midiccmapx4.lv2/presets.ttl usr/lib/lv2/midiccrecorder.lv2/ usr/lib/lv2/midiccrecorder.lv2/manifest.ttl usr/lib/lv2/midiccrecorder.lv2/midiccrecorder_dsp.so usr/lib/lv2/midiccrecorder.lv2/midiccrecorder_dsp.ttl usr/lib/lv2/midiccrecorder.lv2/presets.ttl usr/lib/lv2/midicctopressure.lv2/ usr/lib/lv2/midicctopressure.lv2/manifest.ttl usr/lib/lv2/midicctopressure.lv2/midicctopressure_dsp.so usr/lib/lv2/midicctopressure.lv2/midicctopressure_dsp.ttl usr/lib/lv2/midicctopressure.lv2/presets.ttl usr/lib/lv2/midipbtocc.lv2/ usr/lib/lv2/midipbtocc.lv2/manifest.ttl usr/lib/lv2/midipbtocc.lv2/midipbtocc_dsp.so usr/lib/lv2/midipbtocc.lv2/midipbtocc_dsp.ttl usr/lib/lv2/midipbtocc.lv2/presets.ttl usr/lib/lv2/midipressuretocc.lv2/ usr/lib/lv2/midipressuretocc.lv2/manifest.ttl usr/lib/lv2/midipressuretocc.lv2/midipressuretocc_dsp.so usr/lib/lv2/midipressuretocc.lv2/midipressuretocc_dsp.ttl usr/lib/lv2/midipressuretocc.lv2/presets.ttl usr/lib/lv2/midisysfilter.lv2/ usr/lib/lv2/midisysfilter.lv2/manifest.ttl usr/lib/lv2/midisysfilter.lv2/midisysfilter_dsp.so usr/lib/lv2/midisysfilter.lv2/midisysfilter_dsp.ttl usr/lib/lv2/midisysfilter.lv2/presets.ttl usr/lib/vst/ usr/lib/vst/midiccmapx4-vst.so usr/lib/vst/midiccrecorder-vst.so usr/lib/vst/midicctopressure-vst.so usr/lib/vst/midipbtocc-vst.so usr/lib/vst/midipressuretocc-vst.so usr/lib/vst/midisysfilter-vst.so usr/share/ usr/share/doc/ usr/share/doc/midiomatic/ usr/share/doc/midiomatic/README.md usr/share/licenses/ usr/share/licenses/midiomatic/ usr/share/licenses/midiomatic/LICENSE
aarch64ninjam-server0.080-2Ninjam online jamming server
Close

ninjam-server 0.080-2


Architecture:aarch64
Base Package:ninjam-server (PKGBUILD)
Description:Ninjam online jamming server
Upstream URL:https://cockos.com/ninjam/
Groups:pro-audio
Download Size:52.7 kB (Download)
Installed Size:136.8 kB
License:GPL-2.0-or-later
Build Date:2024-05-20 16:17 UTC
Dependencies:gcc-libs
git (make)
Package Contents
etc/ etc/ninjam.cfg usr/ usr/bin/ usr/bin/ninjamsrv usr/lib/ usr/lib/systemd/ usr/lib/systemd/system/ usr/lib/systemd/system/ninjam.service usr/lib/sysusers.d/ usr/lib/sysusers.d/ninjam.conf usr/share/ usr/share/ninjam-server/ usr/share/ninjam-server/cclicense.txt
x86_64ninjam-server0.080-2Ninjam online jamming server
Close

ninjam-server 0.080-2


Architecture:x86_64
Base Package:ninjam-server (PKGBUILD)
Description:Ninjam online jamming server
Upstream URL:https://cockos.com/ninjam/
Groups:pro-audio
Download Size:41.6 kB (Download)
Installed Size:83.5 kB
License:GPL-2.0-or-later
Build Date:2024-05-20 16:17 UTC
Dependencies:gcc-libs
git (make)
Package Contents
etc/ etc/ninjam.cfg usr/ usr/bin/ usr/bin/ninjamsrv usr/lib/ usr/lib/systemd/ usr/lib/systemd/system/ usr/lib/systemd/system/ninjam.service usr/lib/sysusers.d/ usr/lib/sysusers.d/ninjam.conf usr/share/ usr/share/ninjam-server/ usr/share/ninjam-server/cclicense.txt
aarch64octasine0.9.1-1A four-operator stereo FM synthesizer VST2 plugin
Close

octasine 0.9.1-1


Architecture:aarch64
Base Package:octasine (PKGBUILD)
Description:A four-operator stereo FM synthesizer VST2 plugin
Upstream URL:https://www.octasine.com/
Groups:clap-plugins
pro-audio
vst-plugins
Download Size:1.8 MB (Download)
Installed Size:10.7 MB
License:AGPL3
Build Date:2024-07-03 17:57 UTC
Dependencies:gcc-libs
glibc
libGL.so=1-64
libx11
libxcb
xcb-util-wm
clap-host: for loading the CLAP plugin (optional)
vst-host: for loading the VST2 plugin (optional)
libglvnd (make)
libxcursor (make)
python (make)
rust (make)
Package Contents
usr/ usr/lib/ usr/lib/clap/ usr/lib/clap/OctaSine.clap usr/lib/vst/ usr/lib/vst/OctaSine.so usr/share/ usr/share/doc/ usr/share/doc/octasine/ usr/share/doc/octasine/ARCHITECTURE.md usr/share/doc/octasine/CHANGELOG.md usr/share/doc/octasine/images/ usr/share/doc/octasine/images/architecture-1.png usr/share/doc/octasine/images/screenshot-dark.png usr/share/doc/octasine/images/screenshot-light.png usr/share/doc/octasine/README.md usr/share/doc/octasine/TODO.md
x86_64octasine0.9.1-1A four-operator stereo FM synthesizer VST2 plugin
Close

octasine 0.9.1-1


Architecture:x86_64
Base Package:octasine (PKGBUILD)
Description:A four-operator stereo FM synthesizer VST2 plugin
Upstream URL:https://www.octasine.com/
Groups:clap-plugins
pro-audio
vst-plugins
Download Size:2.1 MB (Download)
Installed Size:11.2 MB
License:AGPL3
Build Date:2024-07-10 19:48 UTC
Dependencies:gcc-libs
glibc
libGL.so=1-64
libx11
libxcb
xcb-util-wm
clap-host: for loading the CLAP plugin (optional)
vst-host: for loading the VST2 plugin (optional)
libglvnd (make)
libxcursor (make)
python (make)
rust (make)
Package Contents
usr/ usr/lib/ usr/lib/clap/ usr/lib/clap/OctaSine.clap usr/lib/vst/ usr/lib/vst/OctaSine.so usr/share/ usr/share/doc/ usr/share/doc/octasine/ usr/share/doc/octasine/ARCHITECTURE.md usr/share/doc/octasine/CHANGELOG.md usr/share/doc/octasine/images/ usr/share/doc/octasine/images/architecture-1.png usr/share/doc/octasine/images/screenshot-dark.png usr/share/doc/octasine/images/screenshot-light.png usr/share/doc/octasine/README.md usr/share/doc/octasine/TODO.md
aarch64ola0.10.9-8Open Lighting Architecture for controlling entertainment lighting equipment
Close

ola 0.10.9-8


Architecture:aarch64
Base Package:ola (PKGBUILD)
Description:Open Lighting Architecture for controlling entertainment lighting equipment
Upstream URL:https://www.openlighting.org
Download Size:2.4 MB (Download)
Installed Size:15.7 MB
Licenses:GPL-2.0-or-later
LGPL-2.1-or-later
Build Date:2024-08-24 09:00 UTC
Dependencies:avahi
libftdi-compat
liblo.so=7-64
libmicrohttpd.so=12-64
libncursesw.so=6-64
libprotobuf.so=32-64
libuuid.so=1-64
python-numpy: for python bindings (optional)
python-protobuf: for python bindings (optional)
python: for python bindings (optional)
avahi (make)
cppunit (make)
doxygen (make)
flake8 (make)
libftdi-compat (make)
liblo (make)
libmicrohttpd (make)
ncurses (make)
protobuf-21 (make)
python-numpy (make)
python-protobuf (make)
util-linux-libs (make)
Package Contents
usr/ usr/bin/ usr/bin/ja-rule usr/bin/ja-rule-controller usr/bin/ola_artnet usr/bin/ola_dev_info usr/bin/ola_dmxconsole usr/bin/ola_dmxmonitor usr/bin/ola_e131 usr/bin/ola_patch usr/bin/ola_plugin_info usr/bin/ola_plugin_state usr/bin/ola_rdm_discover usr/bin/ola_rdm_get usr/bin/ola_rdm_set usr/bin/ola_recorder usr/bin/ola_set_dmx usr/bin/ola_set_priority usr/bin/ola_streaming_client usr/bin/ola_timecode usr/bin/ola_trigger usr/bin/ola_uni_info usr/bin/ola_uni_merge usr/bin/ola_uni_name usr/bin/ola_uni_stats usr/bin/ola_usbpro usr/bin/olad usr/bin/rdm_model_collector.py usr/bin/rdm_responder_test.py usr/bin/rdm_test_server.py usr/bin/rdmpro_sniffer usr/bin/usbpro_firmware usr/include/ usr/include/ola/ usr/include/ola/acn/ usr/include/ola/acn/ACNPort.h usr/include/ola/acn/ACNVectors.h usr/include/ola/acn/CID.h usr/include/ola/ActionQueue.h usr/include/ola/artnet/ usr/include/ola/artnet/ArtNetConfigMessages.pb.h usr/include/ola/AutoStart.h usr/include/ola/base/ usr/include/ola/base/Array.h usr/include/ola/base/Credentials.h usr/include/ola/base/Env.h usr/include/ola/base/Flags.h usr/include/ola/base/FlagsPrivate.h usr/include/ola/base/Init.h usr/include/ola/base/Macro.h usr/include/ola/base/SysExits.h usr/include/ola/base/Version.h usr/include/ola/BaseTypes.h usr/include/ola/Callback.h usr/include/ola/CallbackRunner.h usr/include/ola/client/ usr/include/ola/client/CallbackTypes.h usr/include/ola/client/ClientArgs.h usr/include/ola/client/ClientRDMAPIShim.h usr/include/ola/client/ClientTypes.h usr/include/ola/client/ClientWrapper.h usr/include/ola/client/Module.h usr/include/ola/client/OlaClient.h usr/include/ola/client/Result.h usr/include/ola/client/StreamingClient.h usr/include/ola/Clock.h usr/include/ola/Constants.h usr/include/ola/dmx/ usr/include/ola/dmx/RunLengthEncoder.h usr/include/ola/dmx/SourcePriorities.h usr/include/ola/DmxBuffer.h usr/include/ola/e131/ usr/include/ola/e131/E131ConfigMessages.pb.h usr/include/ola/e133/ usr/include/ola/e133/DeviceManager.h usr/include/ola/e133/E133Enums.h usr/include/ola/e133/E133Receiver.h usr/include/ola/e133/E133StatusHelper.h usr/include/ola/e133/E133URLParser.h usr/include/ola/e133/MessageBuilder.h usr/include/ola/ExportMap.h usr/include/ola/file/ usr/include/ola/file/Util.h usr/include/ola/http/ usr/include/ola/http/HTTPServer.h usr/include/ola/http/OlaHTTPServer.h usr/include/ola/io/ usr/include/ola/io/BigEndianStream.h usr/include/ola/io/ByteString.h usr/include/ola/io/Descriptor.h usr/include/ola/io/ExtendedSerial.h usr/include/ola/io/InputBuffer.h usr/include/ola/io/InputStream.h usr/include/ola/io/IOQueue.h usr/include/ola/io/IOStack.h usr/include/ola/io/IOUtils.h usr/include/ola/io/IOVecInterface.h usr/include/ola/io/MemoryBlock.h usr/include/ola/io/MemoryBlockPool.h usr/include/ola/io/MemoryBuffer.h usr/include/ola/io/NonBlockingSender.h usr/include/ola/io/OutputBuffer.h usr/include/ola/io/OutputStream.h usr/include/ola/io/SelectServer.h usr/include/ola/io/SelectServerInterface.h usr/include/ola/io/Serial.h usr/include/ola/io/StdinHandler.h usr/include/ola/Logging.h usr/include/ola/messaging/ usr/include/ola/messaging/Descriptor.h usr/include/ola/messaging/DescriptorVisitor.h usr/include/ola/messaging/Message.h usr/include/ola/messaging/MessagePrinter.h usr/include/ola/messaging/MessageVisitor.h usr/include/ola/messaging/SchemaPrinter.h usr/include/ola/messaging/StringMessageBuilder.h usr/include/ola/MultiCallback.h usr/include/ola/network/ usr/include/ola/network/AdvancedTCPConnector.h usr/include/ola/network/HealthCheckedConnection.h usr/include/ola/network/Interface.h usr/include/ola/network/InterfacePicker.h usr/include/ola/network/IPV4Address.h usr/include/ola/network/MACAddress.h usr/include/ola/network/NetworkUtils.h usr/include/ola/network/Socket.h usr/include/ola/network/SocketAddress.h usr/include/ola/network/SocketCloser.h usr/include/ola/network/TCPConnector.h usr/include/ola/network/TCPSocket.h usr/include/ola/network/TCPSocketFactory.h usr/include/ola/OlaCallbackClient.h usr/include/ola/OlaClientWrapper.h usr/include/ola/OlaDevice.h usr/include/ola/plugin_id.h usr/include/ola/random/ usr/include/ola/random/Random.h usr/include/ola/rdm/ usr/include/ola/rdm/AckTimerResponder.h usr/include/ola/rdm/AdvancedDimmerResponder.h usr/include/ola/rdm/CommandPrinter.h usr/include/ola/rdm/DimmerResponder.h usr/include/ola/rdm/DimmerRootDevice.h usr/include/ola/rdm/DimmerSubDevice.h usr/include/ola/rdm/DiscoveryAgent.h usr/include/ola/rdm/DummyResponder.h usr/include/ola/rdm/MessageDeserializer.h usr/include/ola/rdm/MessageSerializer.h usr/include/ola/rdm/MovingLightResponder.h usr/include/ola/rdm/NetworkManagerInterface.h usr/include/ola/rdm/NetworkResponder.h usr/include/ola/rdm/OpenLightingEnums.h usr/include/ola/rdm/PidStore.h usr/include/ola/rdm/PidStoreHelper.h usr/include/ola/rdm/QueueingRDMController.h usr/include/ola/rdm/RDMAPI.h usr/include/ola/rdm/RDMAPIImplInterface.h usr/include/ola/rdm/RDMCommand.h usr/include/ola/rdm/RDMCommandSerializer.h usr/include/ola/rdm/RDMControllerAdaptor.h usr/include/ola/rdm/RDMControllerInterface.h usr/include/ola/rdm/RDMEnums.h usr/include/ola/rdm/RDMFrame.h usr/include/ola/rdm/RDMHelper.h usr/include/ola/rdm/RDMMessagePrinters.h usr/include/ola/rdm/RDMPacket.h usr/include/ola/rdm/RDMReply.h usr/include/ola/rdm/RDMResponseCodes.h usr/include/ola/rdm/ResponderHelper.h usr/include/ola/rdm/ResponderLoadSensor.h usr/include/ola/rdm/ResponderOps.h usr/include/ola/rdm/ResponderOpsPrivate.h usr/include/ola/rdm/ResponderPersonality.h usr/include/ola/rdm/ResponderSensor.h usr/include/ola/rdm/ResponderSettings.h usr/include/ola/rdm/ResponderSlotData.h usr/include/ola/rdm/SensorResponder.h usr/include/ola/rdm/StringMessageBuilder.h usr/include/ola/rdm/SubDeviceDispatcher.h usr/include/ola/rdm/UID.h usr/include/ola/rdm/UIDAllocator.h usr/include/ola/rdm/UIDSet.h usr/include/ola/rpc/ usr/include/ola/rpc/RpcSessionHandler.h usr/include/ola/stl/ usr/include/ola/stl/STLUtils.h usr/include/ola/StreamingClient.h usr/include/ola/strings/ usr/include/ola/strings/Format.h usr/include/ola/strings/FormatPrivate.h usr/include/ola/strings/Utils.h usr/include/ola/StringUtils.h usr/include/ola/system/ usr/include/ola/system/Limits.h usr/include/ola/system/SystemUtils.h usr/include/ola/thread/ usr/include/ola/thread/CallbackThread.h usr/include/ola/thread/ConsumerThread.h usr/include/ola/thread/ExecutorInterface.h usr/include/ola/thread/ExecutorThread.h usr/include/ola/thread/Future.h usr/include/ola/thread/FuturePrivate.h usr/include/ola/thread/Mutex.h usr/include/ola/thread/PeriodicThread.h usr/include/ola/thread/SchedulerInterface.h usr/include/ola/thread/SchedulingExecutorInterface.h usr/include/ola/thread/SignalThread.h usr/include/ola/thread/Thread.h usr/include/ola/thread/ThreadPool.h usr/include/ola/thread/Utils.h usr/include/ola/timecode/ usr/include/ola/timecode/TimeCode.h usr/include/ola/timecode/TimeCodeEnums.h usr/include/ola/usbpro/ usr/include/ola/usbpro/UsbProConfigMessages.pb.h usr/include/ola/util/ usr/include/ola/util/Backoff.h usr/include/ola/util/Deleter.h usr/include/ola/util/SequenceNumber.h usr/include/ola/util/Utils.h usr/include/ola/util/Watchdog.h usr/include/ola/web/ usr/include/ola/web/Json.h usr/include/ola/web/JsonData.h usr/include/ola/web/JsonLexer.h usr/include/ola/web/JsonParser.h usr/include/ola/web/JsonPatch.h usr/include/ola/web/JsonPatchParser.h usr/include/ola/web/JsonPointer.h usr/include/ola/web/JsonSchema.h usr/include/ola/web/JsonSections.h usr/include/ola/web/JsonTypes.h usr/include/ola/web/JsonWriter.h usr/include/ola/web/OptionalItem.h usr/include/ola/win/ usr/include/ola/win/CleanWindows.h usr/include/ola/win/CleanWinSock2.h usr/include/olad/ usr/include/olad/Device.h usr/include/olad/DmxSource.h usr/include/olad/OlaDaemon.h usr/include/olad/OlaServer.h usr/include/olad/Plugin.h usr/include/olad/PluginAdaptor.h usr/include/olad/Port.h usr/include/olad/PortBroker.h usr/include/olad/PortConstants.h usr/include/olad/Preferences.h usr/include/olad/TokenBucket.h usr/include/olad/Universe.h usr/lib/ usr/lib/libola.so usr/lib/libola.so.1 usr/lib/libola.so.1.0.1 usr/lib/libolaacn.so usr/lib/libolaacn.so.0 usr/lib/libolaacn.so.0.0.0 usr/lib/libolaartnet.so usr/lib/libolaartnet.so.0 usr/lib/libolaartnet.so.0.0.0 usr/lib/libolaartnetconf.so usr/lib/libolaartnetconf.so.0 usr/lib/libolaartnetconf.so.0.0.0 usr/lib/libolacommon.so usr/lib/libolacommon.so.0 usr/lib/libolacommon.so.0.0.0 usr/lib/liboladummy.so usr/lib/liboladummy.so.0 usr/lib/liboladummy.so.0.0.0 usr/lib/libolae131.so usr/lib/libolae131.so.0 usr/lib/libolae131.so.0.0.0 usr/lib/libolae131conf.so usr/lib/libolae131conf.so.0 usr/lib/libolae131conf.so.0.0.0 usr/lib/libolae133common.so usr/lib/libolae133common.so.0 usr/lib/libolae133common.so.0.0.0 usr/lib/libolae133controller.so usr/lib/libolae133controller.so.0 usr/lib/libolae133controller.so.0.0.0 usr/lib/libolae133device.so usr/lib/libolae133device.so.0 usr/lib/libolae133device.so.0.0.0 usr/lib/libolaespnet.so usr/lib/libolaespnet.so.0 usr/lib/libolaespnet.so.0.0.0 usr/lib/libolaftdidmx.so usr/lib/libolaftdidmx.so.0 usr/lib/libolaftdidmx.so.0.0.0 usr/lib/libolagpio.so usr/lib/libolagpio.so.0 usr/lib/libolagpio.so.0.0.0 usr/lib/libolagpiocore.so usr/lib/libolagpiocore.so.0 usr/lib/libolagpiocore.so.0.0.0 usr/lib/libolakarate.so usr/lib/libolakarate.so.0 usr/lib/libolakarate.so.0.0.0 usr/lib/libolakinet.so usr/lib/libolakinet.so.0 usr/lib/libolakinet.so.0.0.0 usr/lib/libolamilinst.so usr/lib/libolamilinst.so.0 usr/lib/libolamilinst.so.0.0.0 usr/lib/libolaopendmx.so usr/lib/libolaopendmx.so.0 usr/lib/libolaopendmx.so.0.0.0 usr/lib/libolaopenpixelcontrol.so usr/lib/libolaopenpixelcontrol.so.0 usr/lib/libolaopenpixelcontrol.so.0.0.0 usr/lib/libolaosc.so usr/lib/libolaosc.so.0 usr/lib/libolaosc.so.0.0.0 usr/lib/libolapathport.so usr/lib/libolapathport.so.0 usr/lib/libolapathport.so.0.0.0 usr/lib/libolarenard.so usr/lib/libolarenard.so.0 usr/lib/libolarenard.so.0.0.0 usr/lib/libolasandnet.so usr/lib/libolasandnet.so.0 usr/lib/libolasandnet.so.0.0.0 usr/lib/libolaserver.so usr/lib/libolaserver.so.0 usr/lib/libolaserver.so.0.0.0 usr/lib/libolaserverplugininterface.so usr/lib/libolaserverplugininterface.so.0 usr/lib/libolaserverplugininterface.so.0.0.0 usr/lib/libolashownet.so usr/lib/libolashownet.so.0 usr/lib/libolashownet.so.0.0.0 usr/lib/libolaspi.so usr/lib/libolaspi.so.0 usr/lib/libolaspi.so.0.0.0 usr/lib/libolaspicore.so usr/lib/libolaspicore.so.0 usr/lib/libolaspicore.so.0.0.0 usr/lib/libolastageprofi.so usr/lib/libolastageprofi.so.0 usr/lib/libolastageprofi.so.0.0.0 usr/lib/libolatrigger.so usr/lib/libolatrigger.so.0 usr/lib/libolatrigger.so.0.0.0 usr/lib/libolauartdmx.so usr/lib/libolauartdmx.so.0 usr/lib/libolauartdmx.so.0.0.0 usr/lib/libolausbdmx.so usr/lib/libolausbdmx.so.0 usr/lib/libolausbdmx.so.0.0.0 usr/lib/libolausbpro.so usr/lib/libolausbpro.so.0 usr/lib/libolausbpro.so.0.0.0 usr/lib/libolausbproconf.so usr/lib/libolausbproconf.so.0 usr/lib/libolausbproconf.so.0.0.0 usr/lib/pkgconfig/ usr/lib/pkgconfig/libola.pc usr/lib/pkgconfig/libolaacn.pc usr/lib/pkgconfig/libolaartnetconf.pc usr/lib/pkgconfig/libolae131conf.pc usr/lib/pkgconfig/libolae133common.pc usr/lib/pkgconfig/libolae133controller.pc usr/lib/pkgconfig/libolaserver.pc usr/lib/pkgconfig/libolausbproconf.pc usr/lib/python3.12/ usr/lib/python3.12/site-packages/ usr/lib/python3.12/site-packages/ola/ usr/lib/python3.12/site-packages/ola/__init__.py usr/lib/python3.12/site-packages/ola/__pycache__/ usr/lib/python3.12/site-packages/ola/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/__init__.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/ArtNetConfigMessages_pb2.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/ArtNetConfigMessages_pb2.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/ArtNetConfigMessages_pb2.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/ClientWrapper.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/ClientWrapper.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/ClientWrapper.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/DMXConstants.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/DMXConstants.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/DMXConstants.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/DUBDecoder.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/DUBDecoder.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/DUBDecoder.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/MACAddress.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/MACAddress.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/MACAddress.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/Ola_pb2.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/Ola_pb2.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/Ola_pb2.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/OlaClient.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/OlaClient.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/OlaClient.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/Pids_pb2.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/Pids_pb2.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/Pids_pb2.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/PidStore.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/PidStore.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/PidStore.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/PidStoreLocation.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/PidStoreLocation.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/PidStoreLocation.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/RDMAPI.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/RDMAPI.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/RDMAPI.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/RDMConstants.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/RDMConstants.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/RDMConstants.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/UID.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/UID.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/UID.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/UsbProConfigMessages_pb2.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/UsbProConfigMessages_pb2.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/UsbProConfigMessages_pb2.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/Version.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/Version.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/Version.cpython-312.pyc usr/lib/python3.12/site-packages/ola/ArtNetConfigMessages_pb2.py usr/lib/python3.12/site-packages/ola/ClientWrapper.py usr/lib/python3.12/site-packages/ola/DMXConstants.py usr/lib/python3.12/site-packages/ola/DUBDecoder.py usr/lib/python3.12/site-packages/ola/MACAddress.py usr/lib/python3.12/site-packages/ola/Ola_pb2.py usr/lib/python3.12/site-packages/ola/OlaClient.py usr/lib/python3.12/site-packages/ola/Pids_pb2.py usr/lib/python3.12/site-packages/ola/PidStore.py usr/lib/python3.12/site-packages/ola/PidStoreLocation.py usr/lib/python3.12/site-packages/ola/RDMAPI.py usr/lib/python3.12/site-packages/ola/RDMConstants.py usr/lib/python3.12/site-packages/ola/rpc/ usr/lib/python3.12/site-packages/ola/rpc/__init__.py usr/lib/python3.12/site-packages/ola/rpc/__pycache__/ usr/lib/python3.12/site-packages/ola/rpc/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/rpc/__pycache__/__init__.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/rpc/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/ola/rpc/__pycache__/Rpc_pb2.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/rpc/__pycache__/Rpc_pb2.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/rpc/__pycache__/Rpc_pb2.cpython-312.pyc usr/lib/python3.12/site-packages/ola/rpc/__pycache__/SimpleRpcController.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/rpc/__pycache__/SimpleRpcController.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/rpc/__pycache__/SimpleRpcController.cpython-312.pyc usr/lib/python3.12/site-packages/ola/rpc/__pycache__/StreamRpcChannel.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/rpc/__pycache__/StreamRpcChannel.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/rpc/__pycache__/StreamRpcChannel.cpython-312.pyc usr/lib/python3.12/site-packages/ola/rpc/Rpc_pb2.py usr/lib/python3.12/site-packages/ola/rpc/SimpleRpcController.py usr/lib/python3.12/site-packages/ola/rpc/StreamRpcChannel.py usr/lib/python3.12/site-packages/ola/testing/ usr/lib/python3.12/site-packages/ola/testing/__init__.py usr/lib/python3.12/site-packages/ola/testing/__pycache__/ usr/lib/python3.12/site-packages/ola/testing/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/__pycache__/__init__.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/ usr/lib/python3.12/site-packages/ola/testing/rdm/__init__.py usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/ usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/__init__.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/DataLocation.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/DataLocation.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/DataLocation.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/DMXSender.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/DMXSender.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/DMXSender.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/ExpectedResults.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/ExpectedResults.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/ExpectedResults.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/ModelCollector.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/ModelCollector.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/ModelCollector.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/ResponderTest.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/ResponderTest.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/ResponderTest.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestCategory.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestCategory.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestCategory.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestDefinitions.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestDefinitions.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestDefinitions.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestHelpers.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestHelpers.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestHelpers.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestLogger.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestLogger.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestLogger.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestMixins.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestMixins.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestMixins.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestRunner.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestRunner.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestRunner.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestState.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestState.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestState.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TimingStats.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TimingStats.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TimingStats.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/DataLocation.py usr/lib/python3.12/site-packages/ola/testing/rdm/DMXSender.py usr/lib/python3.12/site-packages/ola/testing/rdm/ExpectedResults.py usr/lib/python3.12/site-packages/ola/testing/rdm/ModelCollector.py usr/lib/python3.12/site-packages/ola/testing/rdm/ResponderTest.py usr/lib/python3.12/site-packages/ola/testing/rdm/TestCategory.py usr/lib/python3.12/site-packages/ola/testing/rdm/TestDefinitions.py usr/lib/python3.12/site-packages/ola/testing/rdm/TestHelpers.py usr/lib/python3.12/site-packages/ola/testing/rdm/TestLogger.py usr/lib/python3.12/site-packages/ola/testing/rdm/TestMixins.py usr/lib/python3.12/site-packages/ola/testing/rdm/TestRunner.py usr/lib/python3.12/site-packages/ola/testing/rdm/TestState.py usr/lib/python3.12/site-packages/ola/testing/rdm/TimingStats.py usr/lib/python3.12/site-packages/ola/UID.py usr/lib/python3.12/site-packages/ola/UsbProConfigMessages_pb2.py usr/lib/python3.12/site-packages/ola/Version.py usr/share/ usr/share/man/ usr/share/man/man1/ usr/share/man/man1/logic_rdm_sniffer.1.gz usr/share/man/man1/ola_artnet.1.gz usr/share/man/man1/ola_dev_info.1.gz usr/share/man/man1/ola_dmxconsole.1.gz usr/share/man/man1/ola_dmxmonitor.1.gz usr/share/man/man1/ola_e131.1.gz usr/share/man/man1/ola_patch.1.gz usr/share/man/man1/ola_plugin_info.1.gz usr/share/man/man1/ola_plugin_state.1.gz usr/share/man/man1/ola_rdm_discover.1.gz usr/share/man/man1/ola_rdm_get.1.gz usr/share/man/man1/ola_rdm_set.1.gz usr/share/man/man1/ola_recorder.1.gz usr/share/man/man1/ola_set_dmx.1.gz usr/share/man/man1/ola_set_priority.1.gz usr/share/man/man1/ola_streaming_client.1.gz usr/share/man/man1/ola_timecode.1.gz usr/share/man/man1/ola_trigger.1.gz usr/share/man/man1/ola_uni_info.1.gz usr/share/man/man1/ola_uni_merge.1.gz usr/share/man/man1/ola_uni_name.1.gz usr/share/man/man1/ola_uni_stats.1.gz usr/share/man/man1/ola_usbpro.1.gz usr/share/man/man1/olad.1.gz usr/share/man/man1/rdm_model_collector.py.1.gz usr/share/man/man1/rdm_responder_test.py.1.gz usr/share/man/man1/rdm_test_server.py.1.gz usr/share/man/man1/rdmpro_sniffer.1.gz usr/share/man/man1/usbpro_firmware.1.gz usr/share/ola/ usr/share/ola/pids/ usr/share/ola/pids/draft_pids.proto usr/share/ola/pids/manufacturer_pids.proto usr/share/ola/pids/pids.proto usr/share/ola/rdm-server/ usr/share/ola/rdm-server/common.css usr/share/ola/rdm-server/images/ usr/share/ola/rdm-server/images/discovery.png usr/share/ola/rdm-server/images/external.png usr/share/ola/rdm-server/images/favicon.ico usr/share/ola/rdm-server/images/loader.gif usr/share/ola/rdm-server/images/logo.png usr/share/ola/rdm-server/images/ui-bg_flat_0_aaaaaa_40x100.png usr/share/ola/rdm-server/images/ui-bg_flat_0_eeeeee_40x100.png usr/share/ola/rdm-server/images/ui-bg_flat_55_c0402a_40x100.png usr/share/ola/rdm-server/images/ui-bg_flat_55_eeeeee_40x100.png usr/share/ola/rdm-server/images/ui-bg_glass_100_f8f8f8_1x400.png usr/share/ola/rdm-server/images/ui-bg_glass_35_dddddd_1x400.png usr/share/ola/rdm-server/images/ui-bg_glass_60_eeeeee_1x400.png usr/share/ola/rdm-server/images/ui-bg_inset-hard_75_999999_1x100.png usr/share/ola/rdm-server/images/ui-bg_inset-soft_50_c9c9c9_1x100.png usr/share/ola/rdm-server/images/ui-icons_3383bb_256x240.png usr/share/ola/rdm-server/images/ui-icons_454545_256x240.png usr/share/ola/rdm-server/images/ui-icons_70b2e1_256x240.png usr/share/ola/rdm-server/images/ui-icons_999999_256x240.png usr/share/ola/rdm-server/images/ui-icons_fbc856_256x240.png usr/share/ola/rdm-server/jquery-1.7.2.min.js usr/share/ola/rdm-server/jquery-ui-1.8.21.custom.css usr/share/ola/rdm-server/jquery-ui-1.8.21.custom.min.js usr/share/ola/rdm-server/MIT-LICENSE.txt usr/share/ola/rdm-server/rdm_tests.js usr/share/ola/rdm-server/rdmtests.html usr/share/ola/rdm-server/ui.multiselect.css usr/share/ola/rdm-server/ui.multiselect.js usr/share/olad/ usr/share/olad/www/ usr/share/olad/www/back.png usr/share/olad/www/blank.gif usr/share/olad/www/button-bg.png usr/share/olad/www/console_values.html usr/share/olad/www/custombutton.css usr/share/olad/www/discovery.png usr/share/olad/www/editortoolbar.png usr/share/olad/www/expander.png usr/share/olad/www/forward.png usr/share/olad/www/handle.vertical.png usr/share/olad/www/hide_sections.png usr/share/olad/www/incremental-discovery.png usr/share/olad/www/landing.html usr/share/olad/www/light_bulb_off.png usr/share/olad/www/light_bulb.png usr/share/olad/www/loader-mini.gif usr/share/olad/www/loader.gif usr/share/olad/www/logo-mini.png usr/share/olad/www/logo.png usr/share/olad/www/mobile.html usr/share/olad/www/mobile.js usr/share/olad/www/new/ usr/share/olad/www/new/css/ usr/share/olad/www/new/css/style.min.css usr/share/olad/www/new/img/ usr/share/olad/www/new/img/light_bulb_off.png usr/share/olad/www/new/img/light_bulb.png usr/share/olad/www/new/img/logo-mini.png usr/share/olad/www/new/img/logo.png usr/share/olad/www/new/index.html usr/share/olad/www/new/js/ usr/share/olad/www/new/js/app.min.js usr/share/olad/www/new/js/app.min.js.map usr/share/olad/www/new/libs/ usr/share/olad/www/new/libs/angular-route/ usr/share/olad/www/new/libs/angular-route/js/ usr/share/olad/www/new/libs/angular-route/js/angular-route.min.js usr/share/olad/www/new/libs/angular/ usr/share/olad/www/new/libs/angular/js/ usr/share/olad/www/new/libs/angular/js/angular.min.js usr/share/olad/www/new/libs/bootstrap/ usr/share/olad/www/new/libs/bootstrap/css/ usr/share/olad/www/new/libs/bootstrap/css/bootstrap.min.css usr/share/olad/www/new/libs/bootstrap/fonts/ usr/share/olad/www/new/libs/bootstrap/fonts/glyphicons-halflings-regular.eot usr/share/olad/www/new/libs/bootstrap/fonts/glyphicons-halflings-regular.svg usr/share/olad/www/new/libs/bootstrap/fonts/glyphicons-halflings-regular.ttf usr/share/olad/www/new/libs/bootstrap/fonts/glyphicons-halflings-regular.woff usr/share/olad/www/new/libs/bootstrap/fonts/glyphicons-halflings-regular.woff2 usr/share/olad/www/new/libs/bootstrap/js/ usr/share/olad/www/new/libs/bootstrap/js/bootstrap.min.js usr/share/olad/www/new/libs/jquery/ usr/share/olad/www/new/libs/jquery/js/ usr/share/olad/www/new/libs/jquery/js/jquery.min.js usr/share/olad/www/new/views/ usr/share/olad/www/new/views/overview.html usr/share/olad/www/new/views/plugin-info.html usr/share/olad/www/new/views/plugins.html usr/share/olad/www/new/views/universe-add.html usr/share/olad/www/new/views/universe-faders.html usr/share/olad/www/new/views/universe-header.html usr/share/olad/www/new/views/universe-keypad.html usr/share/olad/www/new/views/universe-overview.html usr/share/olad/www/new/views/universe-patch.html usr/share/olad/www/new/views/universe-rdm.html usr/share/olad/www/new/views/universe-settings.html usr/share/olad/www/new/views/universes.html usr/share/olad/www/ola.html usr/share/olad/www/ola.js usr/share/olad/www/refresh.png usr/share/olad/www/show_sections.png usr/share/olad/www/tick.gif usr/share/olad/www/toolbar_sprites.png usr/share/olad/www/toolbar-bg.png usr/share/olad/www/toolbar.css usr/share/olad/www/vertical.gif usr/share/olad/www/wand.png usr/share/olad/www/warning.png
x86_64ola0.10.9-8Open Lighting Architecture for controlling entertainment lighting equipment
Close

ola 0.10.9-8


Architecture:x86_64
Base Package:ola (PKGBUILD)
Description:Open Lighting Architecture for controlling entertainment lighting equipment
Upstream URL:https://www.openlighting.org
Download Size:2.6 MB (Download)
Installed Size:13.8 MB
Licenses:GPL-2.0-or-later
LGPL-2.1-or-later
Build Date:2024-08-24 09:00 UTC
Dependencies:avahi
libftdi-compat
liblo.so=7-64
libmicrohttpd.so=12-64
libncursesw.so=6-64
libprotobuf.so=32-64
libuuid.so=1-64
python-numpy: for python bindings (optional)
python-protobuf: for python bindings (optional)
python: for python bindings (optional)
avahi (make)
cppunit (make)
doxygen (make)
flake8 (make)
libftdi-compat (make)
liblo (make)
libmicrohttpd (make)
ncurses (make)
protobuf-21 (make)
python-numpy (make)
python-protobuf (make)
util-linux-libs (make)
Package Contents
usr/ usr/bin/ usr/bin/ja-rule usr/bin/ja-rule-controller usr/bin/ola_artnet usr/bin/ola_dev_info usr/bin/ola_dmxconsole usr/bin/ola_dmxmonitor usr/bin/ola_e131 usr/bin/ola_patch usr/bin/ola_plugin_info usr/bin/ola_plugin_state usr/bin/ola_rdm_discover usr/bin/ola_rdm_get usr/bin/ola_rdm_set usr/bin/ola_recorder usr/bin/ola_set_dmx usr/bin/ola_set_priority usr/bin/ola_streaming_client usr/bin/ola_timecode usr/bin/ola_trigger usr/bin/ola_uni_info usr/bin/ola_uni_merge usr/bin/ola_uni_name usr/bin/ola_uni_stats usr/bin/ola_usbpro usr/bin/olad usr/bin/rdm_model_collector.py usr/bin/rdm_responder_test.py usr/bin/rdm_test_server.py usr/bin/rdmpro_sniffer usr/bin/usbpro_firmware usr/include/ usr/include/ola/ usr/include/ola/acn/ usr/include/ola/acn/ACNPort.h usr/include/ola/acn/ACNVectors.h usr/include/ola/acn/CID.h usr/include/ola/ActionQueue.h usr/include/ola/artnet/ usr/include/ola/artnet/ArtNetConfigMessages.pb.h usr/include/ola/AutoStart.h usr/include/ola/base/ usr/include/ola/base/Array.h usr/include/ola/base/Credentials.h usr/include/ola/base/Env.h usr/include/ola/base/Flags.h usr/include/ola/base/FlagsPrivate.h usr/include/ola/base/Init.h usr/include/ola/base/Macro.h usr/include/ola/base/SysExits.h usr/include/ola/base/Version.h usr/include/ola/BaseTypes.h usr/include/ola/Callback.h usr/include/ola/CallbackRunner.h usr/include/ola/client/ usr/include/ola/client/CallbackTypes.h usr/include/ola/client/ClientArgs.h usr/include/ola/client/ClientRDMAPIShim.h usr/include/ola/client/ClientTypes.h usr/include/ola/client/ClientWrapper.h usr/include/ola/client/Module.h usr/include/ola/client/OlaClient.h usr/include/ola/client/Result.h usr/include/ola/client/StreamingClient.h usr/include/ola/Clock.h usr/include/ola/Constants.h usr/include/ola/dmx/ usr/include/ola/dmx/RunLengthEncoder.h usr/include/ola/dmx/SourcePriorities.h usr/include/ola/DmxBuffer.h usr/include/ola/e131/ usr/include/ola/e131/E131ConfigMessages.pb.h usr/include/ola/e133/ usr/include/ola/e133/DeviceManager.h usr/include/ola/e133/E133Enums.h usr/include/ola/e133/E133Receiver.h usr/include/ola/e133/E133StatusHelper.h usr/include/ola/e133/E133URLParser.h usr/include/ola/e133/MessageBuilder.h usr/include/ola/ExportMap.h usr/include/ola/file/ usr/include/ola/file/Util.h usr/include/ola/http/ usr/include/ola/http/HTTPServer.h usr/include/ola/http/OlaHTTPServer.h usr/include/ola/io/ usr/include/ola/io/BigEndianStream.h usr/include/ola/io/ByteString.h usr/include/ola/io/Descriptor.h usr/include/ola/io/ExtendedSerial.h usr/include/ola/io/InputBuffer.h usr/include/ola/io/InputStream.h usr/include/ola/io/IOQueue.h usr/include/ola/io/IOStack.h usr/include/ola/io/IOUtils.h usr/include/ola/io/IOVecInterface.h usr/include/ola/io/MemoryBlock.h usr/include/ola/io/MemoryBlockPool.h usr/include/ola/io/MemoryBuffer.h usr/include/ola/io/NonBlockingSender.h usr/include/ola/io/OutputBuffer.h usr/include/ola/io/OutputStream.h usr/include/ola/io/SelectServer.h usr/include/ola/io/SelectServerInterface.h usr/include/ola/io/Serial.h usr/include/ola/io/StdinHandler.h usr/include/ola/Logging.h usr/include/ola/messaging/ usr/include/ola/messaging/Descriptor.h usr/include/ola/messaging/DescriptorVisitor.h usr/include/ola/messaging/Message.h usr/include/ola/messaging/MessagePrinter.h usr/include/ola/messaging/MessageVisitor.h usr/include/ola/messaging/SchemaPrinter.h usr/include/ola/messaging/StringMessageBuilder.h usr/include/ola/MultiCallback.h usr/include/ola/network/ usr/include/ola/network/AdvancedTCPConnector.h usr/include/ola/network/HealthCheckedConnection.h usr/include/ola/network/Interface.h usr/include/ola/network/InterfacePicker.h usr/include/ola/network/IPV4Address.h usr/include/ola/network/MACAddress.h usr/include/ola/network/NetworkUtils.h usr/include/ola/network/Socket.h usr/include/ola/network/SocketAddress.h usr/include/ola/network/SocketCloser.h usr/include/ola/network/TCPConnector.h usr/include/ola/network/TCPSocket.h usr/include/ola/network/TCPSocketFactory.h usr/include/ola/OlaCallbackClient.h usr/include/ola/OlaClientWrapper.h usr/include/ola/OlaDevice.h usr/include/ola/plugin_id.h usr/include/ola/random/ usr/include/ola/random/Random.h usr/include/ola/rdm/ usr/include/ola/rdm/AckTimerResponder.h usr/include/ola/rdm/AdvancedDimmerResponder.h usr/include/ola/rdm/CommandPrinter.h usr/include/ola/rdm/DimmerResponder.h usr/include/ola/rdm/DimmerRootDevice.h usr/include/ola/rdm/DimmerSubDevice.h usr/include/ola/rdm/DiscoveryAgent.h usr/include/ola/rdm/DummyResponder.h usr/include/ola/rdm/MessageDeserializer.h usr/include/ola/rdm/MessageSerializer.h usr/include/ola/rdm/MovingLightResponder.h usr/include/ola/rdm/NetworkManagerInterface.h usr/include/ola/rdm/NetworkResponder.h usr/include/ola/rdm/OpenLightingEnums.h usr/include/ola/rdm/PidStore.h usr/include/ola/rdm/PidStoreHelper.h usr/include/ola/rdm/QueueingRDMController.h usr/include/ola/rdm/RDMAPI.h usr/include/ola/rdm/RDMAPIImplInterface.h usr/include/ola/rdm/RDMCommand.h usr/include/ola/rdm/RDMCommandSerializer.h usr/include/ola/rdm/RDMControllerAdaptor.h usr/include/ola/rdm/RDMControllerInterface.h usr/include/ola/rdm/RDMEnums.h usr/include/ola/rdm/RDMFrame.h usr/include/ola/rdm/RDMHelper.h usr/include/ola/rdm/RDMMessagePrinters.h usr/include/ola/rdm/RDMPacket.h usr/include/ola/rdm/RDMReply.h usr/include/ola/rdm/RDMResponseCodes.h usr/include/ola/rdm/ResponderHelper.h usr/include/ola/rdm/ResponderLoadSensor.h usr/include/ola/rdm/ResponderOps.h usr/include/ola/rdm/ResponderOpsPrivate.h usr/include/ola/rdm/ResponderPersonality.h usr/include/ola/rdm/ResponderSensor.h usr/include/ola/rdm/ResponderSettings.h usr/include/ola/rdm/ResponderSlotData.h usr/include/ola/rdm/SensorResponder.h usr/include/ola/rdm/StringMessageBuilder.h usr/include/ola/rdm/SubDeviceDispatcher.h usr/include/ola/rdm/UID.h usr/include/ola/rdm/UIDAllocator.h usr/include/ola/rdm/UIDSet.h usr/include/ola/rpc/ usr/include/ola/rpc/RpcSessionHandler.h usr/include/ola/stl/ usr/include/ola/stl/STLUtils.h usr/include/ola/StreamingClient.h usr/include/ola/strings/ usr/include/ola/strings/Format.h usr/include/ola/strings/FormatPrivate.h usr/include/ola/strings/Utils.h usr/include/ola/StringUtils.h usr/include/ola/system/ usr/include/ola/system/Limits.h usr/include/ola/system/SystemUtils.h usr/include/ola/thread/ usr/include/ola/thread/CallbackThread.h usr/include/ola/thread/ConsumerThread.h usr/include/ola/thread/ExecutorInterface.h usr/include/ola/thread/ExecutorThread.h usr/include/ola/thread/Future.h usr/include/ola/thread/FuturePrivate.h usr/include/ola/thread/Mutex.h usr/include/ola/thread/PeriodicThread.h usr/include/ola/thread/SchedulerInterface.h usr/include/ola/thread/SchedulingExecutorInterface.h usr/include/ola/thread/SignalThread.h usr/include/ola/thread/Thread.h usr/include/ola/thread/ThreadPool.h usr/include/ola/thread/Utils.h usr/include/ola/timecode/ usr/include/ola/timecode/TimeCode.h usr/include/ola/timecode/TimeCodeEnums.h usr/include/ola/usbpro/ usr/include/ola/usbpro/UsbProConfigMessages.pb.h usr/include/ola/util/ usr/include/ola/util/Backoff.h usr/include/ola/util/Deleter.h usr/include/ola/util/SequenceNumber.h usr/include/ola/util/Utils.h usr/include/ola/util/Watchdog.h usr/include/ola/web/ usr/include/ola/web/Json.h usr/include/ola/web/JsonData.h usr/include/ola/web/JsonLexer.h usr/include/ola/web/JsonParser.h usr/include/ola/web/JsonPatch.h usr/include/ola/web/JsonPatchParser.h usr/include/ola/web/JsonPointer.h usr/include/ola/web/JsonSchema.h usr/include/ola/web/JsonSections.h usr/include/ola/web/JsonTypes.h usr/include/ola/web/JsonWriter.h usr/include/ola/web/OptionalItem.h usr/include/ola/win/ usr/include/ola/win/CleanWindows.h usr/include/ola/win/CleanWinSock2.h usr/include/olad/ usr/include/olad/Device.h usr/include/olad/DmxSource.h usr/include/olad/OlaDaemon.h usr/include/olad/OlaServer.h usr/include/olad/Plugin.h usr/include/olad/PluginAdaptor.h usr/include/olad/Port.h usr/include/olad/PortBroker.h usr/include/olad/PortConstants.h usr/include/olad/Preferences.h usr/include/olad/TokenBucket.h usr/include/olad/Universe.h usr/lib/ usr/lib/libola.so usr/lib/libola.so.1 usr/lib/libola.so.1.0.1 usr/lib/libolaacn.so usr/lib/libolaacn.so.0 usr/lib/libolaacn.so.0.0.0 usr/lib/libolaartnet.so usr/lib/libolaartnet.so.0 usr/lib/libolaartnet.so.0.0.0 usr/lib/libolaartnetconf.so usr/lib/libolaartnetconf.so.0 usr/lib/libolaartnetconf.so.0.0.0 usr/lib/libolacommon.so usr/lib/libolacommon.so.0 usr/lib/libolacommon.so.0.0.0 usr/lib/liboladummy.so usr/lib/liboladummy.so.0 usr/lib/liboladummy.so.0.0.0 usr/lib/libolae131.so usr/lib/libolae131.so.0 usr/lib/libolae131.so.0.0.0 usr/lib/libolae131conf.so usr/lib/libolae131conf.so.0 usr/lib/libolae131conf.so.0.0.0 usr/lib/libolae133common.so usr/lib/libolae133common.so.0 usr/lib/libolae133common.so.0.0.0 usr/lib/libolae133controller.so usr/lib/libolae133controller.so.0 usr/lib/libolae133controller.so.0.0.0 usr/lib/libolae133device.so usr/lib/libolae133device.so.0 usr/lib/libolae133device.so.0.0.0 usr/lib/libolaespnet.so usr/lib/libolaespnet.so.0 usr/lib/libolaespnet.so.0.0.0 usr/lib/libolaftdidmx.so usr/lib/libolaftdidmx.so.0 usr/lib/libolaftdidmx.so.0.0.0 usr/lib/libolagpio.so usr/lib/libolagpio.so.0 usr/lib/libolagpio.so.0.0.0 usr/lib/libolagpiocore.so usr/lib/libolagpiocore.so.0 usr/lib/libolagpiocore.so.0.0.0 usr/lib/libolakarate.so usr/lib/libolakarate.so.0 usr/lib/libolakarate.so.0.0.0 usr/lib/libolakinet.so usr/lib/libolakinet.so.0 usr/lib/libolakinet.so.0.0.0 usr/lib/libolamilinst.so usr/lib/libolamilinst.so.0 usr/lib/libolamilinst.so.0.0.0 usr/lib/libolaopendmx.so usr/lib/libolaopendmx.so.0 usr/lib/libolaopendmx.so.0.0.0 usr/lib/libolaopenpixelcontrol.so usr/lib/libolaopenpixelcontrol.so.0 usr/lib/libolaopenpixelcontrol.so.0.0.0 usr/lib/libolaosc.so usr/lib/libolaosc.so.0 usr/lib/libolaosc.so.0.0.0 usr/lib/libolapathport.so usr/lib/libolapathport.so.0 usr/lib/libolapathport.so.0.0.0 usr/lib/libolarenard.so usr/lib/libolarenard.so.0 usr/lib/libolarenard.so.0.0.0 usr/lib/libolasandnet.so usr/lib/libolasandnet.so.0 usr/lib/libolasandnet.so.0.0.0 usr/lib/libolaserver.so usr/lib/libolaserver.so.0 usr/lib/libolaserver.so.0.0.0 usr/lib/libolaserverplugininterface.so usr/lib/libolaserverplugininterface.so.0 usr/lib/libolaserverplugininterface.so.0.0.0 usr/lib/libolashownet.so usr/lib/libolashownet.so.0 usr/lib/libolashownet.so.0.0.0 usr/lib/libolaspi.so usr/lib/libolaspi.so.0 usr/lib/libolaspi.so.0.0.0 usr/lib/libolaspicore.so usr/lib/libolaspicore.so.0 usr/lib/libolaspicore.so.0.0.0 usr/lib/libolastageprofi.so usr/lib/libolastageprofi.so.0 usr/lib/libolastageprofi.so.0.0.0 usr/lib/libolatrigger.so usr/lib/libolatrigger.so.0 usr/lib/libolatrigger.so.0.0.0 usr/lib/libolauartdmx.so usr/lib/libolauartdmx.so.0 usr/lib/libolauartdmx.so.0.0.0 usr/lib/libolausbdmx.so usr/lib/libolausbdmx.so.0 usr/lib/libolausbdmx.so.0.0.0 usr/lib/libolausbpro.so usr/lib/libolausbpro.so.0 usr/lib/libolausbpro.so.0.0.0 usr/lib/libolausbproconf.so usr/lib/libolausbproconf.so.0 usr/lib/libolausbproconf.so.0.0.0 usr/lib/pkgconfig/ usr/lib/pkgconfig/libola.pc usr/lib/pkgconfig/libolaacn.pc usr/lib/pkgconfig/libolaartnetconf.pc usr/lib/pkgconfig/libolae131conf.pc usr/lib/pkgconfig/libolae133common.pc usr/lib/pkgconfig/libolae133controller.pc usr/lib/pkgconfig/libolaserver.pc usr/lib/pkgconfig/libolausbproconf.pc usr/lib/python3.12/ usr/lib/python3.12/site-packages/ usr/lib/python3.12/site-packages/ola/ usr/lib/python3.12/site-packages/ola/__init__.py usr/lib/python3.12/site-packages/ola/__pycache__/ usr/lib/python3.12/site-packages/ola/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/__init__.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/ArtNetConfigMessages_pb2.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/ArtNetConfigMessages_pb2.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/ArtNetConfigMessages_pb2.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/ClientWrapper.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/ClientWrapper.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/ClientWrapper.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/DMXConstants.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/DMXConstants.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/DMXConstants.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/DUBDecoder.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/DUBDecoder.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/DUBDecoder.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/MACAddress.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/MACAddress.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/MACAddress.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/Ola_pb2.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/Ola_pb2.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/Ola_pb2.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/OlaClient.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/OlaClient.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/OlaClient.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/Pids_pb2.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/Pids_pb2.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/Pids_pb2.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/PidStore.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/PidStore.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/PidStore.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/PidStoreLocation.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/PidStoreLocation.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/PidStoreLocation.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/RDMAPI.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/RDMAPI.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/RDMAPI.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/RDMConstants.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/RDMConstants.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/RDMConstants.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/UID.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/UID.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/UID.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/UsbProConfigMessages_pb2.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/UsbProConfigMessages_pb2.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/UsbProConfigMessages_pb2.cpython-312.pyc usr/lib/python3.12/site-packages/ola/__pycache__/Version.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/__pycache__/Version.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/__pycache__/Version.cpython-312.pyc usr/lib/python3.12/site-packages/ola/ArtNetConfigMessages_pb2.py usr/lib/python3.12/site-packages/ola/ClientWrapper.py usr/lib/python3.12/site-packages/ola/DMXConstants.py usr/lib/python3.12/site-packages/ola/DUBDecoder.py usr/lib/python3.12/site-packages/ola/MACAddress.py usr/lib/python3.12/site-packages/ola/Ola_pb2.py usr/lib/python3.12/site-packages/ola/OlaClient.py usr/lib/python3.12/site-packages/ola/Pids_pb2.py usr/lib/python3.12/site-packages/ola/PidStore.py usr/lib/python3.12/site-packages/ola/PidStoreLocation.py usr/lib/python3.12/site-packages/ola/RDMAPI.py usr/lib/python3.12/site-packages/ola/RDMConstants.py usr/lib/python3.12/site-packages/ola/rpc/ usr/lib/python3.12/site-packages/ola/rpc/__init__.py usr/lib/python3.12/site-packages/ola/rpc/__pycache__/ usr/lib/python3.12/site-packages/ola/rpc/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/rpc/__pycache__/__init__.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/rpc/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/ola/rpc/__pycache__/Rpc_pb2.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/rpc/__pycache__/Rpc_pb2.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/rpc/__pycache__/Rpc_pb2.cpython-312.pyc usr/lib/python3.12/site-packages/ola/rpc/__pycache__/SimpleRpcController.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/rpc/__pycache__/SimpleRpcController.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/rpc/__pycache__/SimpleRpcController.cpython-312.pyc usr/lib/python3.12/site-packages/ola/rpc/__pycache__/StreamRpcChannel.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/rpc/__pycache__/StreamRpcChannel.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/rpc/__pycache__/StreamRpcChannel.cpython-312.pyc usr/lib/python3.12/site-packages/ola/rpc/Rpc_pb2.py usr/lib/python3.12/site-packages/ola/rpc/SimpleRpcController.py usr/lib/python3.12/site-packages/ola/rpc/StreamRpcChannel.py usr/lib/python3.12/site-packages/ola/testing/ usr/lib/python3.12/site-packages/ola/testing/__init__.py usr/lib/python3.12/site-packages/ola/testing/__pycache__/ usr/lib/python3.12/site-packages/ola/testing/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/__pycache__/__init__.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/ usr/lib/python3.12/site-packages/ola/testing/rdm/__init__.py usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/ usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/__init__.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/DataLocation.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/DataLocation.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/DataLocation.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/DMXSender.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/DMXSender.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/DMXSender.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/ExpectedResults.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/ExpectedResults.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/ExpectedResults.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/ModelCollector.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/ModelCollector.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/ModelCollector.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/ResponderTest.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/ResponderTest.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/ResponderTest.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestCategory.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestCategory.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestCategory.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestDefinitions.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestDefinitions.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestDefinitions.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestHelpers.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestHelpers.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestHelpers.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestLogger.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestLogger.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestLogger.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestMixins.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestMixins.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestMixins.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestRunner.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestRunner.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestRunner.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestState.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestState.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TestState.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TimingStats.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TimingStats.cpython-312.opt-2.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/__pycache__/TimingStats.cpython-312.pyc usr/lib/python3.12/site-packages/ola/testing/rdm/DataLocation.py usr/lib/python3.12/site-packages/ola/testing/rdm/DMXSender.py usr/lib/python3.12/site-packages/ola/testing/rdm/ExpectedResults.py usr/lib/python3.12/site-packages/ola/testing/rdm/ModelCollector.py usr/lib/python3.12/site-packages/ola/testing/rdm/ResponderTest.py usr/lib/python3.12/site-packages/ola/testing/rdm/TestCategory.py usr/lib/python3.12/site-packages/ola/testing/rdm/TestDefinitions.py usr/lib/python3.12/site-packages/ola/testing/rdm/TestHelpers.py usr/lib/python3.12/site-packages/ola/testing/rdm/TestLogger.py usr/lib/python3.12/site-packages/ola/testing/rdm/TestMixins.py usr/lib/python3.12/site-packages/ola/testing/rdm/TestRunner.py usr/lib/python3.12/site-packages/ola/testing/rdm/TestState.py usr/lib/python3.12/site-packages/ola/testing/rdm/TimingStats.py usr/lib/python3.12/site-packages/ola/UID.py usr/lib/python3.12/site-packages/ola/UsbProConfigMessages_pb2.py usr/lib/python3.12/site-packages/ola/Version.py usr/share/ usr/share/man/ usr/share/man/man1/ usr/share/man/man1/logic_rdm_sniffer.1.gz usr/share/man/man1/ola_artnet.1.gz usr/share/man/man1/ola_dev_info.1.gz usr/share/man/man1/ola_dmxconsole.1.gz usr/share/man/man1/ola_dmxmonitor.1.gz usr/share/man/man1/ola_e131.1.gz usr/share/man/man1/ola_patch.1.gz usr/share/man/man1/ola_plugin_info.1.gz usr/share/man/man1/ola_plugin_state.1.gz usr/share/man/man1/ola_rdm_discover.1.gz usr/share/man/man1/ola_rdm_get.1.gz usr/share/man/man1/ola_rdm_set.1.gz usr/share/man/man1/ola_recorder.1.gz usr/share/man/man1/ola_set_dmx.1.gz usr/share/man/man1/ola_set_priority.1.gz usr/share/man/man1/ola_streaming_client.1.gz usr/share/man/man1/ola_timecode.1.gz usr/share/man/man1/ola_trigger.1.gz usr/share/man/man1/ola_uni_info.1.gz usr/share/man/man1/ola_uni_merge.1.gz usr/share/man/man1/ola_uni_name.1.gz usr/share/man/man1/ola_uni_stats.1.gz usr/share/man/man1/ola_usbpro.1.gz usr/share/man/man1/olad.1.gz usr/share/man/man1/rdm_model_collector.py.1.gz usr/share/man/man1/rdm_responder_test.py.1.gz usr/share/man/man1/rdm_test_server.py.1.gz usr/share/man/man1/rdmpro_sniffer.1.gz usr/share/man/man1/usbpro_firmware.1.gz usr/share/ola/ usr/share/ola/pids/ usr/share/ola/pids/draft_pids.proto usr/share/ola/pids/manufacturer_pids.proto usr/share/ola/pids/pids.proto usr/share/ola/rdm-server/ usr/share/ola/rdm-server/common.css usr/share/ola/rdm-server/images/ usr/share/ola/rdm-server/images/discovery.png usr/share/ola/rdm-server/images/external.png usr/share/ola/rdm-server/images/favicon.ico usr/share/ola/rdm-server/images/loader.gif usr/share/ola/rdm-server/images/logo.png usr/share/ola/rdm-server/images/ui-bg_flat_0_aaaaaa_40x100.png usr/share/ola/rdm-server/images/ui-bg_flat_0_eeeeee_40x100.png usr/share/ola/rdm-server/images/ui-bg_flat_55_c0402a_40x100.png usr/share/ola/rdm-server/images/ui-bg_flat_55_eeeeee_40x100.png usr/share/ola/rdm-server/images/ui-bg_glass_100_f8f8f8_1x400.png usr/share/ola/rdm-server/images/ui-bg_glass_35_dddddd_1x400.png usr/share/ola/rdm-server/images/ui-bg_glass_60_eeeeee_1x400.png usr/share/ola/rdm-server/images/ui-bg_inset-hard_75_999999_1x100.png usr/share/ola/rdm-server/images/ui-bg_inset-soft_50_c9c9c9_1x100.png usr/share/ola/rdm-server/images/ui-icons_3383bb_256x240.png usr/share/ola/rdm-server/images/ui-icons_454545_256x240.png usr/share/ola/rdm-server/images/ui-icons_70b2e1_256x240.png usr/share/ola/rdm-server/images/ui-icons_999999_256x240.png usr/share/ola/rdm-server/images/ui-icons_fbc856_256x240.png usr/share/ola/rdm-server/jquery-1.7.2.min.js usr/share/ola/rdm-server/jquery-ui-1.8.21.custom.css usr/share/ola/rdm-server/jquery-ui-1.8.21.custom.min.js usr/share/ola/rdm-server/MIT-LICENSE.txt usr/share/ola/rdm-server/rdm_tests.js usr/share/ola/rdm-server/rdmtests.html usr/share/ola/rdm-server/ui.multiselect.css usr/share/ola/rdm-server/ui.multiselect.js usr/share/olad/ usr/share/olad/www/ usr/share/olad/www/back.png usr/share/olad/www/blank.gif usr/share/olad/www/button-bg.png usr/share/olad/www/console_values.html usr/share/olad/www/custombutton.css usr/share/olad/www/discovery.png usr/share/olad/www/editortoolbar.png usr/share/olad/www/expander.png usr/share/olad/www/forward.png usr/share/olad/www/handle.vertical.png usr/share/olad/www/hide_sections.png usr/share/olad/www/incremental-discovery.png usr/share/olad/www/landing.html usr/share/olad/www/light_bulb_off.png usr/share/olad/www/light_bulb.png usr/share/olad/www/loader-mini.gif usr/share/olad/www/loader.gif usr/share/olad/www/logo-mini.png usr/share/olad/www/logo.png usr/share/olad/www/mobile.html usr/share/olad/www/mobile.js usr/share/olad/www/new/ usr/share/olad/www/new/css/ usr/share/olad/www/new/css/style.min.css usr/share/olad/www/new/img/ usr/share/olad/www/new/img/light_bulb_off.png usr/share/olad/www/new/img/light_bulb.png usr/share/olad/www/new/img/logo-mini.png usr/share/olad/www/new/img/logo.png usr/share/olad/www/new/index.html usr/share/olad/www/new/js/ usr/share/olad/www/new/js/app.min.js usr/share/olad/www/new/js/app.min.js.map usr/share/olad/www/new/libs/ usr/share/olad/www/new/libs/angular-route/ usr/share/olad/www/new/libs/angular-route/js/ usr/share/olad/www/new/libs/angular-route/js/angular-route.min.js usr/share/olad/www/new/libs/angular/ usr/share/olad/www/new/libs/angular/js/ usr/share/olad/www/new/libs/angular/js/angular.min.js usr/share/olad/www/new/libs/bootstrap/ usr/share/olad/www/new/libs/bootstrap/css/ usr/share/olad/www/new/libs/bootstrap/css/bootstrap.min.css usr/share/olad/www/new/libs/bootstrap/fonts/ usr/share/olad/www/new/libs/bootstrap/fonts/glyphicons-halflings-regular.eot usr/share/olad/www/new/libs/bootstrap/fonts/glyphicons-halflings-regular.svg usr/share/olad/www/new/libs/bootstrap/fonts/glyphicons-halflings-regular.ttf usr/share/olad/www/new/libs/bootstrap/fonts/glyphicons-halflings-regular.woff usr/share/olad/www/new/libs/bootstrap/fonts/glyphicons-halflings-regular.woff2 usr/share/olad/www/new/libs/bootstrap/js/ usr/share/olad/www/new/libs/bootstrap/js/bootstrap.min.js usr/share/olad/www/new/libs/jquery/ usr/share/olad/www/new/libs/jquery/js/ usr/share/olad/www/new/libs/jquery/js/jquery.min.js usr/share/olad/www/new/views/ usr/share/olad/www/new/views/overview.html usr/share/olad/www/new/views/plugin-info.html usr/share/olad/www/new/views/plugins.html usr/share/olad/www/new/views/universe-add.html usr/share/olad/www/new/views/universe-faders.html usr/share/olad/www/new/views/universe-header.html usr/share/olad/www/new/views/universe-keypad.html usr/share/olad/www/new/views/universe-overview.html usr/share/olad/www/new/views/universe-patch.html usr/share/olad/www/new/views/universe-rdm.html usr/share/olad/www/new/views/universe-settings.html usr/share/olad/www/new/views/universes.html usr/share/olad/www/ola.html usr/share/olad/www/ola.js usr/share/olad/www/refresh.png usr/share/olad/www/show_sections.png usr/share/olad/www/tick.gif usr/share/olad/www/toolbar_sprites.png usr/share/olad/www/toolbar-bg.png usr/share/olad/www/toolbar.css usr/share/olad/www/vertical.gif usr/share/olad/www/wand.png usr/share/olad/www/warning.png
anyola-docs0.10.9-8Open Lighting Architecture for controlling entertainment lighting equipment (documentation)
Close

ola-docs 0.10.9-8


Architecture:any
Base Package:ola (PKGBUILD)
Description:Open Lighting Architecture for controlling entertainment lighting equipment (documentation)
Upstream URL:https://www.openlighting.org
Download Size:2.5 MB (Download)
Installed Size:44.5 MB
Licenses:GPL-2.0-or-later
LGPL-2.1-or-later
Build Date:2024-08-24 09:00 UTC
Dependencies:avahi (make)
cppunit (make)
doxygen (make)
flake8 (make)
libftdi-compat (make)
liblo (make)
libmicrohttpd (make)
ncurses (make)
protobuf-21 (make)
python-numpy (make)
python-protobuf (make)
util-linux-libs (make)
Package Contents
usr/ usr/share/ usr/share/doc/ usr/share/doc/ola/ usr/share/doc/ola/_a_c_n_port_8h_source.html usr/share/doc/ola/_a_c_n_port_8h.html usr/share/doc/ola/_a_c_n_vectors_8h_source.html usr/share/doc/ola/_a_c_n_vectors_8h.html usr/share/doc/ola/_ack_timer_responder_8h_source.html usr/share/doc/ola/_ack_timer_responder_8h.html usr/share/doc/ola/_action_queue_8h_source.html usr/share/doc/ola/_advanced_dimmer_responder_8h_source.html usr/share/doc/ola/_advanced_dimmer_responder_8h.html usr/share/doc/ola/_advanced_t_c_p_connector_8h_source.html usr/share/doc/ola/_anymau_d_m_x_8h_source.html usr/share/doc/ola/_anymau_d_m_x_factory_8h_source.html usr/share/doc/ola/_arduino_r_g_b_device_8h_source.html usr/share/doc/ola/_arduino_widget_8h_source.html usr/share/doc/ola/_array_8h_source.html usr/share/doc/ola/_array_8h.html usr/share/doc/ola/_art_net_device_8h_source.html usr/share/doc/ola/_art_net_node_8h_source.html usr/share/doc/ola/_art_net_packets_8h_source.html usr/share/doc/ola/_art_net_plugin_8h_source.html usr/share/doc/ola/_art_net_port_8h_source.html usr/share/doc/ola/_async_plugin_impl_8h_source.html usr/share/doc/ola/_async_usb_receiver_8h_source.html usr/share/doc/ola/_async_usb_sender_8h_source.html usr/share/doc/ola/_async_usb_transceiver_base_8h_source.html usr/share/doc/ola/_auto_start_8h_source.html usr/share/doc/ola/_avahi_discovery_agent_8h_source.html usr/share/doc/ola/_backoff_8h_source.html usr/share/doc/ola/_base_inflator_8h_source.html usr/share/doc/ola/_base_robe_widget_8h_source.html usr/share/doc/ola/_base_types_8h_source.html usr/share/doc/ola/_base_types_8h.html usr/share/doc/ola/_base_usb_pro_widget_8h_source.html usr/share/doc/ola/_big_endian_stream_8h_source.html usr/share/doc/ola/_bonjour_discovery_agent_8h_source.html usr/share/doc/ola/_c_i_d_8h_source.html usr/share/doc/ola/_c_i_d_8h.html usr/share/doc/ola/_c_i_d_impl_8h_source.html usr/share/doc/ola/_callback_8h_source.html usr/share/doc/ola/_callback_8h.html usr/share/doc/ola/_callback_runner_8h_source.html usr/share/doc/ola/_callback_runner_8h.html usr/share/doc/ola/_callback_thread_8h_source.html usr/share/doc/ola/_callback_types_8h_source.html usr/share/doc/ola/_callback_types_8h.html usr/share/doc/ola/_clean_win_sock2_8h_source.html usr/share/doc/ola/_clean_windows_8h_source.html usr/share/doc/ola/_client_8h_source.html usr/share/doc/ola/_client_args_8h_source.html usr/share/doc/ola/_client_args_8h.html usr/share/doc/ola/_client_broker_8h_source.html usr/share/doc/ola/_client_r_d_m_a_p_i_shim_8h_source.html usr/share/doc/ola/_client_types_8h_source.html usr/share/doc/ola/_client_types_8h.html usr/share/doc/ola/_client_types_factory_8h_source.html usr/share/doc/ola/_client_wrapper_8h_source.html usr/share/doc/ola/_client_wrapper_8h.html usr/share/doc/ola/_clock_8h_source.html usr/share/doc/ola/_command_printer_8h_source.html usr/share/doc/ola/_constants_8h_source.html usr/share/doc/ola/_constants_8h.html usr/share/doc/ola/_consumer_thread_8h_source.html usr/share/doc/ola/_cpp_file_generator_8h_source.html usr/share/doc/ola/_cpp_generator_8h_source.html usr/share/doc/ola/_credentials_8cpp.html usr/share/doc/ola/_credentials_8h_source.html usr/share/doc/ola/_credentials_8h.html usr/share/doc/ola/_d_m_p_address_8h_source.html usr/share/doc/ola/_d_m_p_e131_inflator_8h_source.html usr/share/doc/ola/_d_m_p_header_8h_source.html usr/share/doc/ola/_d_m_p_inflator_8h_source.html usr/share/doc/ola/_d_m_p_p_d_u_8h_source.html usr/share/doc/ola/_d_m_x_c_projects_nodle_u1_8h_source.html usr/share/doc/ola/_d_m_x_c_projects_nodle_u1_device_8h_source.html usr/share/doc/ola/_d_m_x_c_projects_nodle_u1_factory_8h_source.html usr/share/doc/ola/_d_m_x_c_projects_nodle_u1_port_8h_source.html usr/share/doc/ola/_deleter_8h_source.html usr/share/doc/ola/_descriptor_consistency_checker_8h_source.html usr/share/doc/ola/_descriptor_visitor_8h_source.html usr/share/doc/ola/_device_8h_source.html usr/share/doc/ola/_dimmer_responder_8h_source.html usr/share/doc/ola/_dimmer_responder_8h.html usr/share/doc/ola/_dimmer_root_device_8h_source.html usr/share/doc/ola/_dimmer_root_device_8h.html usr/share/doc/ola/_dimmer_sub_device_8h_source.html usr/share/doc/ola/_dimmer_sub_device_8h.html usr/share/doc/ola/_dmx_buffer_8cpp.html usr/share/doc/ola/_dmx_buffer_8h_source.html usr/share/doc/ola/_dmx_buffer_8h.html usr/share/doc/ola/_dmx_source_8h_source.html usr/share/doc/ola/_dmx_tri_device_8h_source.html usr/share/doc/ola/_dmx_tri_widget_8h_source.html usr/share/doc/ola/_dmx4_linux_device_8h_source.html usr/share/doc/ola/_dmx4_linux_plugin_8h_source.html usr/share/doc/ola/_dmx4_linux_port_8h_source.html usr/share/doc/ola/_dmx4_linux_socket_8h_source.html usr/share/doc/ola/_dmxter_device_8h_source.html usr/share/doc/ola/_dmxter_widget_8h_source.html usr/share/doc/ola/_dummy_device_8h_source.html usr/share/doc/ola/_dummy_plugin_8h_source.html usr/share/doc/ola/_dummy_port_8h_source.html usr/share/doc/ola/_dummy_responder_8h_source.html usr/share/doc/ola/_dummy_responder_8h.html usr/share/doc/ola/_dynamic_plugin_loader_8h_source.html usr/share/doc/ola/_e_poller_8h_source.html usr/share/doc/ola/_e131_device_8h_source.html usr/share/doc/ola/_e131_discovery_inflator_8h_source.html usr/share/doc/ola/_e131_header_8h_source.html usr/share/doc/ola/_e131_inflator_8h_source.html usr/share/doc/ola/_e131_node_8h_source.html usr/share/doc/ola/_e131_p_d_u_8h_source.html usr/share/doc/ola/_e131_plugin_8h_source.html usr/share/doc/ola/_e131_port_8h_source.html usr/share/doc/ola/_e131_sender_8h_source.html usr/share/doc/ola/_e133_enums_8h_source.html usr/share/doc/ola/_e133_header_8h_source.html usr/share/doc/ola/_e133_inflator_8h_source.html usr/share/doc/ola/_e133_p_d_u_8h_source.html usr/share/doc/ola/_e133_receiver_8h_source.html usr/share/doc/ola/_e133_status_helper_8h_source.html usr/share/doc/ola/_e133_status_inflator_8h_source.html usr/share/doc/ola/_e133_status_p_d_u_8h_source.html usr/share/doc/ola/_e133_u_r_l_parser_8h_source.html usr/share/doc/ola/_enttec_usb_pro_widget_8h_source.html usr/share/doc/ola/_enttec_usb_pro_widget_impl_8h_source.html usr/share/doc/ola/_env_8h_source.html usr/share/doc/ola/_esp_net_device_8h_source.html usr/share/doc/ola/_esp_net_node_8h_source.html usr/share/doc/ola/_esp_net_packets_8h_source.html usr/share/doc/ola/_esp_net_plugin_8h_source.html usr/share/doc/ola/_esp_net_plugin_common_8h_source.html usr/share/doc/ola/_esp_net_port_8h_source.html usr/share/doc/ola/_eurolite_pro_8h_source.html usr/share/doc/ola/_eurolite_pro_factory_8h_source.html usr/share/doc/ola/_executor_interface_8h_source.html usr/share/doc/ola/_executor_thread_8h_source.html usr/share/doc/ola/_export_map_8h_source.html usr/share/doc/ola/_export_map_8h.html usr/share/doc/ola/_extended_serial_8h_source.html usr/share/doc/ola/_fake_interface_picker_8h_source.html usr/share/doc/ola/_fake_network_manager_8h_source.html usr/share/doc/ola/_fake_s_p_i_writer_8h_source.html usr/share/doc/ola/_firmware_loader_8h_source.html usr/share/doc/ola/_flags_8h_source.html usr/share/doc/ola/_flags_8h.html usr/share/doc/ola/_flags_private_8h_source.html usr/share/doc/ola/_flags_private_8h.html usr/share/doc/ola/_format_8h_source.html usr/share/doc/ola/_format_8h.html usr/share/doc/ola/_format_private_8h_source.html usr/share/doc/ola/_format_private_8h.html usr/share/doc/ola/_ftdi_dmx_device_8h_source.html usr/share/doc/ola/_ftdi_dmx_plugin_8h_source.html usr/share/doc/ola/_ftdi_dmx_port_8h_source.html usr/share/doc/ola/_ftdi_dmx_thread_8h_source.html usr/share/doc/ola/_ftdi_widget_8h_source.html usr/share/doc/ola/_future_8h_source.html usr/share/doc/ola/_future_private_8h_source.html usr/share/doc/ola/_g_p_i_o_device_8h_source.html usr/share/doc/ola/_g_p_i_o_driver_8h_source.html usr/share/doc/ola/_g_p_i_o_plugin_8h_source.html usr/share/doc/ola/_g_p_i_o_port_8h_source.html usr/share/doc/ola/_generator_helpers_8h_source.html usr/share/doc/ola/_generic_device_8h_source.html usr/share/doc/ola/_generic_output_port_8h_source.html usr/share/doc/ola/_generic_usb_pro_widget_8h_source.html usr/share/doc/ola/_group_size_calculator_8h_source.html usr/share/doc/ola/_h_t_t_p_server_8h_source.html usr/share/doc/ola/_header_set_8h_source.html usr/share/doc/ola/_health_checked_connection_8h_source.html usr/share/doc/ola/_hotplug_agent_8h_source.html usr/share/doc/ola/_http_server_actions_8h_source.html usr/share/doc/ola/_i_o_queue_8h_source.html usr/share/doc/ola/_i_o_stack_8h_source.html usr/share/doc/ola/_i_o_utils_8h_source.html usr/share/doc/ola/_i_o_vec_interface_8h_source.html usr/share/doc/ola/_i_p_v4_address_8h_source.html usr/share/doc/ola/_i_p_v4_address_8h.html usr/share/doc/ola/_init_8cpp.html usr/share/doc/ola/_init_8h_source.html usr/share/doc/ola/_init_8h.html usr/share/doc/ola/_input_buffer_8h_source.html usr/share/doc/ola/_input_stream_8h_source.html usr/share/doc/ola/_interface_8h_source.html usr/share/doc/ola/_interface_picker_8h_source.html usr/share/doc/ola/_ja_rule_constants_8h_source.html usr/share/doc/ola/_ja_rule_device_8h_source.html usr/share/doc/ola/_ja_rule_factory_8h_source.html usr/share/doc/ola/_ja_rule_output_port_8h_source.html usr/share/doc/ola/_ja_rule_port_handle_8h_source.html usr/share/doc/ola/_ja_rule_port_handle_impl_8h_source.html usr/share/doc/ola/_ja_rule_widget_8h_source.html usr/share/doc/ola/_ja_rule_widget_port_8h_source.html usr/share/doc/ola/_json_8h_source.html usr/share/doc/ola/_json_8h.html usr/share/doc/ola/_json_data_8h_source.html usr/share/doc/ola/_json_data_8h.html usr/share/doc/ola/_json_lexer_8h_source.html usr/share/doc/ola/_json_lexer_8h.html usr/share/doc/ola/_json_parser_8h_source.html usr/share/doc/ola/_json_parser_8h.html usr/share/doc/ola/_json_patch_8h_source.html usr/share/doc/ola/_json_patch_8h.html usr/share/doc/ola/_json_patch_parser_8h_source.html usr/share/doc/ola/_json_patch_parser_8h.html usr/share/doc/ola/_json_pointer_8h_source.html usr/share/doc/ola/_json_pointer_8h.html usr/share/doc/ola/_json_schema_8h_source.html usr/share/doc/ola/_json_schema_8h.html usr/share/doc/ola/_json_sections_8h_source.html usr/share/doc/ola/_json_types_8h_source.html usr/share/doc/ola/_json_types_8h.html usr/share/doc/ola/_json_writer_8h_source.html usr/share/doc/ola/_json_writer_8h.html usr/share/doc/ola/_k_queue_poller_8h_source.html usr/share/doc/ola/_karate_device_8h_source.html usr/share/doc/ola/_karate_light_8h_source.html usr/share/doc/ola/_karate_plugin_8h_source.html usr/share/doc/ola/_karate_port_8h_source.html usr/share/doc/ola/_karate_thread_8h_source.html usr/share/doc/ola/_ki_net_device_8h_source.html usr/share/doc/ola/_ki_net_node_8h_source.html usr/share/doc/ola/_ki_net_plugin_8h_source.html usr/share/doc/ola/_ki_net_port_8h_source.html usr/share/doc/ola/_lib_usb_adaptor_8h_source.html usr/share/doc/ola/_lib_usb_thread_8h_source.html usr/share/doc/ola/_limits_8h_source.html usr/share/doc/ola/_logging_8cpp.html usr/share/doc/ola/_logging_8h_source.html usr/share/doc/ola/_logging_8h.html usr/share/doc/ola/_m_a_c_address_8h_source.html usr/share/doc/ola/_m_a_c_address_8h.html usr/share/doc/ola/_macro_8h_source.html usr/share/doc/ola/_macro_8h.html usr/share/doc/ola/_memory_block_8h_source.html usr/share/doc/ola/_memory_block_8h.html usr/share/doc/ola/_memory_block_pool_8h_source.html usr/share/doc/ola/_memory_buffer_8h_source.html usr/share/doc/ola/_message_8h_source.html usr/share/doc/ola/_message_builder_8h_source.html usr/share/doc/ola/_message_deserializer_8h_source.html usr/share/doc/ola/_message_deserializer_8h.html usr/share/doc/ola/_message_printer_8h_source.html usr/share/doc/ola/_message_serializer_8h_source.html usr/share/doc/ola/_message_serializer_8h.html usr/share/doc/ola/_message_visitor_8h_source.html usr/share/doc/ola/_mil_inst_device_8h_source.html usr/share/doc/ola/_mil_inst_plugin_8h_source.html usr/share/doc/ola/_mil_inst_port_8h_source.html usr/share/doc/ola/_mil_inst_widget_8h_source.html usr/share/doc/ola/_mil_inst_widget1463_8h_source.html usr/share/doc/ola/_mil_inst_widget1553_8h_source.html usr/share/doc/ola/_module_8h_source.html usr/share/doc/ola/_module_8h.html usr/share/doc/ola/_moving_light_responder_8h_source.html usr/share/doc/ola/_moving_light_responder_8h.html usr/share/doc/ola/_multi_callback_8h_source.html usr/share/doc/ola/_multi_callback_8h.html usr/share/doc/ola/_mutex_8h_source.html usr/share/doc/ola/_network_manager_8h_source.html usr/share/doc/ola/_network_manager_8h.html usr/share/doc/ola/_network_manager_interface_8h_source.html usr/share/doc/ola/_network_manager_interface_8h.html usr/share/doc/ola/_network_responder_8h_source.html usr/share/doc/ola/_network_responder_8h.html usr/share/doc/ola/_network_utils_8h_source.html usr/share/doc/ola/_network_utils_internal_8h_source.html usr/share/doc/ola/_non_blocking_sender_8h_source.html usr/share/doc/ola/_o_p_c_client_8h_source.html usr/share/doc/ola/_o_p_c_constants_8h_source.html usr/share/doc/ola/_o_p_c_device_8h_source.html usr/share/doc/ola/_o_p_c_plugin_8h_source.html usr/share/doc/ola/_o_p_c_port_8h_source.html usr/share/doc/ola/_o_p_c_server_8h_source.html usr/share/doc/ola/_o_s_c_address_template_8h_source.html usr/share/doc/ola/_o_s_c_device_8h_source.html usr/share/doc/ola/_o_s_c_node_8h_source.html usr/share/doc/ola/_o_s_c_plugin_8h_source.html usr/share/doc/ola/_o_s_c_port_8h_source.html usr/share/doc/ola/_o_s_c_target_8h_source.html usr/share/doc/ola/_ola_callback_client_8h_source.html usr/share/doc/ola/_ola_callback_client_8h.html usr/share/doc/ola/_ola_client_8h_source.html usr/share/doc/ola/_ola_client_core_8h_source.html usr/share/doc/ola/_ola_client_wrapper_8h_source.html usr/share/doc/ola/_ola_daemon_8h_source.html usr/share/doc/ola/_ola_device_8h_source.html usr/share/doc/ola/_ola_device_8h.html usr/share/doc/ola/_ola_h_t_t_p_server_8h_source.html usr/share/doc/ola/_ola_server_8h_source.html usr/share/doc/ola/_ola_server_service_impl_8h_source.html usr/share/doc/ola/_olad_h_t_t_p_server_8h_source.html usr/share/doc/ola/_open_dmx_device_8h_source.html usr/share/doc/ola/_open_dmx_plugin_8h_source.html usr/share/doc/ola/_open_dmx_port_8h_source.html usr/share/doc/ola/_open_dmx_thread_8h_source.html usr/share/doc/ola/_open_lighting_enums_8h_source.html usr/share/doc/ola/_open_lighting_enums_8h.html usr/share/doc/ola/_optional_item_8h_source.html usr/share/doc/ola/_output_buffer_8h_source.html usr/share/doc/ola/_output_stream_8h_source.html usr/share/doc/ola/_p_d_u_8h_source.html usr/share/doc/ola/_pathport_device_8h_source.html usr/share/doc/ola/_pathport_node_8h_source.html usr/share/doc/ola/_pathport_packets_8h_source.html usr/share/doc/ola/_pathport_plugin_8h_source.html usr/share/doc/ola/_pathport_port_8h_source.html usr/share/doc/ola/_periodic_thread_8h_source.html usr/share/doc/ola/_pid_store_8h_source.html usr/share/doc/ola/_pid_store_8h.html usr/share/doc/ola/_pid_store_helper_8h_source.html usr/share/doc/ola/_pid_store_helper_8h.html usr/share/doc/ola/_pid_store_loader_8h_source.html usr/share/doc/ola/_plugin_8h_source.html usr/share/doc/ola/_plugin_adaptor_8h_source.html usr/share/doc/ola/_plugin_adaptor_8h.html usr/share/doc/ola/_plugin_impl_interface_8h_source.html usr/share/doc/ola/_plugin_loader_8h_source.html usr/share/doc/ola/_plugin_manager_8h_source.html usr/share/doc/ola/_pointer_tracker_8h_source.html usr/share/doc/ola/_poller_interface_8h_source.html usr/share/doc/ola/_port_8h_source.html usr/share/doc/ola/_port_broker_8h_source.html usr/share/doc/ola/_port_constants_8h_source.html usr/share/doc/ola/_port_constants_8h.html usr/share/doc/ola/_port_manager_8h_source.html usr/share/doc/ola/_posix_interface_picker_8h_source.html usr/share/doc/ola/_preamble_packer_8h_source.html usr/share/doc/ola/_preferences_8h_source.html usr/share/doc/ola/_queueing_r_d_m_controller_8h_source.html usr/share/doc/ola/_queueing_r_d_m_controller_8h.html usr/share/doc/ola/_r_d_m_a_p_i_8h_source.html usr/share/doc/ola/_r_d_m_a_p_i_8h.html usr/share/doc/ola/_r_d_m_a_p_i_impl_interface_8h_source.html usr/share/doc/ola/_r_d_m_a_p_i_impl_interface_8h.html usr/share/doc/ola/_r_d_m_command_8cpp.html usr/share/doc/ola/_r_d_m_command_8h_source.html usr/share/doc/ola/_r_d_m_command_8h.html usr/share/doc/ola/_r_d_m_command_serializer_8h_source.html usr/share/doc/ola/_r_d_m_command_serializer_8h.html usr/share/doc/ola/_r_d_m_controller_adaptor_8h_source.html usr/share/doc/ola/_r_d_m_controller_adaptor_8h.html usr/share/doc/ola/_r_d_m_controller_interface_8h_source.html usr/share/doc/ola/_r_d_m_controller_interface_8h.html usr/share/doc/ola/_r_d_m_enums_8h_source.html usr/share/doc/ola/_r_d_m_enums_8h.html usr/share/doc/ola/_r_d_m_frame_8h_source.html usr/share/doc/ola/_r_d_m_h_t_t_p_module_8h_source.html usr/share/doc/ola/_r_d_m_helper_8h_source.html usr/share/doc/ola/_r_d_m_helper_8h.html usr/share/doc/ola/_r_d_m_inflator_8h_source.html usr/share/doc/ola/_r_d_m_message_printers_8h_source.html usr/share/doc/ola/_r_d_m_message_printers_8h.html usr/share/doc/ola/_r_d_m_p_d_u_8h_source.html usr/share/doc/ola/_r_d_m_packet_8h_source.html usr/share/doc/ola/_r_d_m_packet_8h.html usr/share/doc/ola/_r_d_m_reply_8h_source.html usr/share/doc/ola/_r_d_m_response_codes_8h_source.html usr/share/doc/ola/_r_d_m_response_codes_8h.html usr/share/doc/ola/_random_8h_source.html usr/share/doc/ola/_renard_device_8h_source.html usr/share/doc/ola/_renard_plugin_8h_source.html usr/share/doc/ola/_renard_port_8h_source.html usr/share/doc/ola/_renard_widget_8h_source.html usr/share/doc/ola/_responder_helper_8h_source.html usr/share/doc/ola/_responder_helper_8h.html usr/share/doc/ola/_responder_load_sensor_8h_source.html usr/share/doc/ola/_responder_load_sensor_8h.html usr/share/doc/ola/_responder_ops_8h_source.html usr/share/doc/ola/_responder_ops_8h.html usr/share/doc/ola/_responder_ops_private_8h_source.html usr/share/doc/ola/_responder_ops_private_8h.html usr/share/doc/ola/_responder_personality_8h_source.html usr/share/doc/ola/_responder_sensor_8h_source.html usr/share/doc/ola/_responder_sensor_8h.html usr/share/doc/ola/_responder_settings_8h_source.html usr/share/doc/ola/_responder_slot_data_8h_source.html usr/share/doc/ola/_responder_slot_data_8h.html usr/share/doc/ola/_result_8h_source.html usr/share/doc/ola/_result_8h.html usr/share/doc/ola/_robe_device_8h_source.html usr/share/doc/ola/_robe_widget_8h_source.html usr/share/doc/ola/_robe_widget_detector_8h_source.html usr/share/doc/ola/_root_header_8h_source.html usr/share/doc/ola/_root_inflator_8h_source.html usr/share/doc/ola/_root_p_d_u_8h_source.html usr/share/doc/ola/_root_sender_8h_source.html usr/share/doc/ola/_rpc_channel_8h_source.html usr/share/doc/ola/_rpc_controller_8h_source.html usr/share/doc/ola/_rpc_header_8h_source.html usr/share/doc/ola/_rpc_peer_8h_source.html usr/share/doc/ola/_rpc_server_8h_source.html usr/share/doc/ola/_rpc_service_8h_source.html usr/share/doc/ola/_rpc_session_8h_source.html usr/share/doc/ola/_rpc_session_handler_8h_source.html usr/share/doc/ola/_run_length_decoder_8h_source.html usr/share/doc/ola/_run_length_encoder_8h_source.html usr/share/doc/ola/_run_length_encoder_8h.html usr/share/doc/ola/_s_p_i_backend_8h_source.html usr/share/doc/ola/_s_p_i_device_8h_source.html usr/share/doc/ola/_s_p_i_output_8h_source.html usr/share/doc/ola/_s_p_i_plugin_8h_source.html usr/share/doc/ola/_s_p_i_port_8h_source.html usr/share/doc/ola/_s_p_i_writer_8h_source.html usr/share/doc/ola/_s_t_l_utils_8h_source.html usr/share/doc/ola/_s_t_l_utils_8h.html usr/share/doc/ola/_sand_net_common_8h_source.html usr/share/doc/ola/_sand_net_device_8h_source.html usr/share/doc/ola/_sand_net_node_8h_source.html usr/share/doc/ola/_sand_net_packets_8h_source.html usr/share/doc/ola/_sand_net_plugin_8h_source.html usr/share/doc/ola/_sand_net_port_8h_source.html usr/share/doc/ola/_scanlime_fadecandy_8h_source.html usr/share/doc/ola/_scanlime_fadecandy_factory_8h_source.html usr/share/doc/ola/_scheduler_interface_8h_source.html usr/share/doc/ola/_scheduling_executor_interface_8h_source.html usr/share/doc/ola/_schema_error_logger_8h_source.html usr/share/doc/ola/_schema_keywords_8h_source.html usr/share/doc/ola/_schema_parse_context_8h_source.html usr/share/doc/ola/_schema_parser_8h_source.html usr/share/doc/ola/_schema_printer_8h_source.html usr/share/doc/ola/_select_poller_8h_source.html usr/share/doc/ola/_select_server_8h_source.html usr/share/doc/ola/_select_server_interface_8h_source.html usr/share/doc/ola/_sensor_responder_8h_source.html usr/share/doc/ola/_sensor_responder_8h.html usr/share/doc/ola/_sequence_number_8h_source.html usr/share/doc/ola/_serial_8h_source.html usr/share/doc/ola/_serial_widget_interface_8h_source.html usr/share/doc/ola/_service_generator_8h_source.html usr/share/doc/ola/_show_net_device_8h_source.html usr/share/doc/ola/_show_net_node_8h_source.html usr/share/doc/ola/_show_net_packets_8h_source.html usr/share/doc/ola/_show_net_plugin_8h_source.html usr/share/doc/ola/_show_net_port_8h_source.html usr/share/doc/ola/_signal_thread_8h_source.html usr/share/doc/ola/_socket_8h_source.html usr/share/doc/ola/_socket_address_8h_source.html usr/share/doc/ola/_socket_address_8h.html usr/share/doc/ola/_socket_closer_8h_source.html usr/share/doc/ola/_socket_closer_8h.html usr/share/doc/ola/_socket_helper_8h_source.html usr/share/doc/ola/_source_priorities_8h_source.html usr/share/doc/ola/_source_priorities_8h.html usr/share/doc/ola/_stage_profi_detector_8h_source.html usr/share/doc/ola/_stage_profi_device_8h_source.html usr/share/doc/ola/_stage_profi_plugin_8h_source.html usr/share/doc/ola/_stage_profi_port_8h_source.html usr/share/doc/ola/_stage_profi_widget_8h_source.html usr/share/doc/ola/_stdin_handler_8h_source.html usr/share/doc/ola/_stdin_handler_8h.html usr/share/doc/ola/_str_util_8h_source.html usr/share/doc/ola/_string_utils_8h_source.html usr/share/doc/ola/_string_utils_8h.html usr/share/doc/ola/_sub_device_dispatcher_8h_source.html usr/share/doc/ola/_sub_device_dispatcher_8h.html usr/share/doc/ola/_sunlite_8h_source.html usr/share/doc/ola/_sunlite_factory_8h_source.html usr/share/doc/ola/_sunlite_firmware_loader_8h_source.html usr/share/doc/ola/_sync_plugin_impl_8h_source.html usr/share/doc/ola/_syncronized_widget_observer_8h_source.html usr/share/doc/ola/_sys_exits_8cpp.html usr/share/doc/ola/_sys_exits_8h_source.html usr/share/doc/ola/_sys_exits_8h.html usr/share/doc/ola/_system_utils_8h_source.html usr/share/doc/ola/_t_c_p_connector_8h_source.html usr/share/doc/ola/_t_c_p_socket_8h_source.html usr/share/doc/ola/_t_c_p_socket_factory_8h_source.html usr/share/doc/ola/_t_c_p_transport_8h_source.html usr/share/doc/ola/_thread_8h_source.html usr/share/doc/ola/_thread_pool_8h_source.html usr/share/doc/ola/_threaded_usb_receiver_8h_source.html usr/share/doc/ola/_threaded_usb_sender_8h_source.html usr/share/doc/ola/_time_code_8h_source.html usr/share/doc/ola/_time_code_enums_8h_source.html usr/share/doc/ola/_timeout_manager_8h_source.html usr/share/doc/ola/_token_bucket_8h_source.html usr/share/doc/ola/_transport_8h_source.html usr/share/doc/ola/_transport_header_8h_source.html usr/share/doc/ola/_types_8h_source.html usr/share/doc/ola/_u_d_p_transport_8h_source.html usr/share/doc/ola/_u_i_d_8h_source.html usr/share/doc/ola/_u_i_d_8h.html usr/share/doc/ola/_u_i_d_allocator_8h_source.html usr/share/doc/ola/_u_i_d_allocator_8h.html usr/share/doc/ola/_u_i_d_set_8h_source.html usr/share/doc/ola/_u_i_d_set_8h.html usr/share/doc/ola/_uart_dmx_device_8h_source.html usr/share/doc/ola/_uart_dmx_plugin_8h_source.html usr/share/doc/ola/_uart_dmx_port_8h_source.html usr/share/doc/ola/_uart_dmx_thread_8h_source.html usr/share/doc/ola/_uart_widget_8h_source.html usr/share/doc/ola/_ultra_d_m_x_pro_device_8h_source.html usr/share/doc/ola/_ultra_d_m_x_pro_widget_8h_source.html usr/share/doc/ola/_universe_8h_source.html usr/share/doc/ola/_universe_store_8h_source.html usr/share/doc/ola/_usb_dmx_plugin_8h_source.html usr/share/doc/ola/_usb_pro_device_8h_source.html usr/share/doc/ola/_usb_pro_widget_detector_8h_source.html usr/share/doc/ola/_usb_serial_device_8h_source.html usr/share/doc/ola/_usb_serial_plugin_8h_source.html usr/share/doc/ola/_util_8h_source.html usr/share/doc/ola/_variable_field_size_calculator_8h_source.html usr/share/doc/ola/_velleman_k8062_8h_source.html usr/share/doc/ola/_velleman_k8062_factory_8h_source.html usr/share/doc/ola/_version_8h_source.html usr/share/doc/ola/_watchdog_8h_source.html usr/share/doc/ola/_widget_8h_source.html usr/share/doc/ola/_widget_detector_interface_8h_source.html usr/share/doc/ola/_widget_detector_thread_8h_source.html usr/share/doc/ola/_widget_factory_8h_source.html usr/share/doc/ola/_windows_interface_picker_8h_source.html usr/share/doc/ola/_windows_poller_8h_source.html usr/share/doc/ola/annotated.html usr/share/doc/ola/bc_s.png usr/share/doc/ola/bc_sd.png usr/share/doc/ola/class_example_stdin_handler-members.html usr/share/doc/ola/class_example_stdin_handler.html usr/share/doc/ola/class_ola_thread-members.html usr/share/doc/ola/class_ola_thread.html usr/share/doc/ola/class_ola_thread.png usr/share/doc/ola/classes.html usr/share/doc/ola/classola_1_1_abstract_device-members.html usr/share/doc/ola/classola_1_1_abstract_device.html usr/share/doc/ola/classola_1_1_abstract_device.png usr/share/doc/ola/classola_1_1_abstract_plugin-members.html usr/share/doc/ola/classola_1_1_abstract_plugin.html usr/share/doc/ola/classola_1_1_abstract_plugin.png usr/share/doc/ola/classola_1_1_action_queue-members.html usr/share/doc/ola/classola_1_1_action_queue.html usr/share/doc/ola/classola_1_1_action-members.html usr/share/doc/ola/classola_1_1_action.html usr/share/doc/ola/classola_1_1_action.png usr/share/doc/ola/classola_1_1_array_deleter-members.html usr/share/doc/ola/classola_1_1_array_deleter.html usr/share/doc/ola/classola_1_1_avahi_discovery_agent-members.html usr/share/doc/ola/classola_1_1_avahi_discovery_agent.html usr/share/doc/ola/classola_1_1_avahi_discovery_agent.png usr/share/doc/ola/classola_1_1_back_off_policy-members.html usr/share/doc/ola/classola_1_1_back_off_policy.html usr/share/doc/ola/classola_1_1_back_off_policy.png usr/share/doc/ola/classola_1_1_backoff_generator-members.html usr/share/doc/ola/classola_1_1_backoff_generator.html usr/share/doc/ola/classola_1_1_base_callback0-members.html usr/share/doc/ola/classola_1_1_base_callback0.html usr/share/doc/ola/classola_1_1_base_callback0.png usr/share/doc/ola/classola_1_1_base_callback1-members.html usr/share/doc/ola/classola_1_1_base_callback1.html usr/share/doc/ola/classola_1_1_base_callback1.png usr/share/doc/ola/classola_1_1_base_callback2-members.html usr/share/doc/ola/classola_1_1_base_callback2.html usr/share/doc/ola/classola_1_1_base_callback2.png usr/share/doc/ola/classola_1_1_base_callback3-members.html usr/share/doc/ola/classola_1_1_base_callback3.html usr/share/doc/ola/classola_1_1_base_callback3.png usr/share/doc/ola/classola_1_1_base_callback4-members.html usr/share/doc/ola/classola_1_1_base_callback4.html usr/share/doc/ola/classola_1_1_base_callback4.png usr/share/doc/ola/classola_1_1_base_flag-members.html usr/share/doc/ola/classola_1_1_base_flag.html usr/share/doc/ola/classola_1_1_base_flag.png usr/share/doc/ola/classola_1_1_base_http_action-members.html usr/share/doc/ola/classola_1_1_base_http_action.html usr/share/doc/ola/classola_1_1_base_http_action.png usr/share/doc/ola/classola_1_1_base_time_val-members.html usr/share/doc/ola/classola_1_1_base_time_val.html usr/share/doc/ola/classola_1_1_base_variable-members.html usr/share/doc/ola/classola_1_1_base_variable.html usr/share/doc/ola/classola_1_1_base_variable.png usr/share/doc/ola/classola_1_1_basic_input_port-members.html usr/share/doc/ola/classola_1_1_basic_input_port.html usr/share/doc/ola/classola_1_1_basic_input_port.png usr/share/doc/ola/classola_1_1_basic_output_port-members.html usr/share/doc/ola/classola_1_1_basic_output_port.html usr/share/doc/ola/classola_1_1_basic_output_port.png usr/share/doc/ola/classola_1_1_bonjour_discovery_agent-members.html usr/share/doc/ola/classola_1_1_bonjour_discovery_agent.html usr/share/doc/ola/classola_1_1_bonjour_discovery_agent.png usr/share/doc/ola/classola_1_1_bool_validator-members.html usr/share/doc/ola/classola_1_1_bool_validator.html usr/share/doc/ola/classola_1_1_bool_validator.png usr/share/doc/ola/classola_1_1_bool_variable-members.html usr/share/doc/ola/classola_1_1_bool_variable.html usr/share/doc/ola/classola_1_1_bool_variable.png usr/share/doc/ola/classola_1_1_callback_runner-members.html usr/share/doc/ola/classola_1_1_callback_runner.html usr/share/doc/ola/classola_1_1_callback0-members.html usr/share/doc/ola/classola_1_1_callback0.html usr/share/doc/ola/classola_1_1_callback0.png usr/share/doc/ola/classola_1_1_callback1-members.html usr/share/doc/ola/classola_1_1_callback1.html usr/share/doc/ola/classola_1_1_callback1.png usr/share/doc/ola/classola_1_1_callback2-members.html usr/share/doc/ola/classola_1_1_callback2.html usr/share/doc/ola/classola_1_1_callback2.png usr/share/doc/ola/classola_1_1_callback3-members.html usr/share/doc/ola/classola_1_1_callback3.html usr/share/doc/ola/classola_1_1_callback3.png usr/share/doc/ola/classola_1_1_callback4-members.html usr/share/doc/ola/classola_1_1_callback4.html usr/share/doc/ola/classola_1_1_callback4.png usr/share/doc/ola/classola_1_1_client_broker-members.html usr/share/doc/ola/classola_1_1_client_broker.html usr/share/doc/ola/classola_1_1_client-members.html usr/share/doc/ola/classola_1_1_client.html usr/share/doc/ola/classola_1_1_clock-members.html usr/share/doc/ola/classola_1_1_clock.html usr/share/doc/ola/classola_1_1_clock.png usr/share/doc/ola/classola_1_1_constant_backoff_policy-members.html usr/share/doc/ola/classola_1_1_constant_backoff_policy.html usr/share/doc/ola/classola_1_1_constant_backoff_policy.png usr/share/doc/ola/classola_1_1_counter_variable-members.html usr/share/doc/ola/classola_1_1_counter_variable.html usr/share/doc/ola/classola_1_1_counter_variable.png usr/share/doc/ola/classola_1_1_cpp_generator-members.html usr/share/doc/ola/classola_1_1_cpp_generator.html usr/share/doc/ola/classola_1_1_cpp_generator.png usr/share/doc/ola/classola_1_1_d_n_s_s_d_descriptor-members.html usr/share/doc/ola/classola_1_1_d_n_s_s_d_descriptor.html usr/share/doc/ola/classola_1_1_d_n_s_s_d_descriptor.png usr/share/doc/ola/classola_1_1_device_manager-members.html usr/share/doc/ola/classola_1_1_device_manager.html usr/share/doc/ola/classola_1_1_device-members.html usr/share/doc/ola/classola_1_1_device.html usr/share/doc/ola/classola_1_1_device.png usr/share/doc/ola/classola_1_1_discovery_agent_factory-members.html usr/share/doc/ola/classola_1_1_discovery_agent_factory.html usr/share/doc/ola/classola_1_1_discovery_agent_interface-members.html usr/share/doc/ola/classola_1_1_discovery_agent_interface.html usr/share/doc/ola/classola_1_1_discovery_agent_interface.png usr/share/doc/ola/classola_1_1_dmx_buffer-members.html usr/share/doc/ola/classola_1_1_dmx_buffer.html usr/share/doc/ola/classola_1_1_dmx_source-members.html usr/share/doc/ola/classola_1_1_dmx_source.html usr/share/doc/ola/classola_1_1_dynamic_plugin_loader-members.html usr/share/doc/ola/classola_1_1_dynamic_plugin_loader.html usr/share/doc/ola/classola_1_1_dynamic_plugin_loader.png usr/share/doc/ola/classola_1_1_exponential_backoff_policy-members.html usr/share/doc/ola/classola_1_1_exponential_backoff_policy.html usr/share/doc/ola/classola_1_1_exponential_backoff_policy.png usr/share/doc/ola/classola_1_1_export_map-members.html usr/share/doc/ola/classola_1_1_export_map.html usr/share/doc/ola/classola_1_1_file_backed_preferences_factory-members.html usr/share/doc/ola/classola_1_1_file_backed_preferences_factory.html usr/share/doc/ola/classola_1_1_file_backed_preferences_factory.png usr/share/doc/ola/classola_1_1_file_backed_preferences-members.html usr/share/doc/ola/classola_1_1_file_backed_preferences.html usr/share/doc/ola/classola_1_1_file_backed_preferences.png usr/share/doc/ola/classola_1_1_file_generator-members.html usr/share/doc/ola/classola_1_1_file_generator.html usr/share/doc/ola/classola_1_1_file_preference_saver_thread-members.html usr/share/doc/ola/classola_1_1_file_preference_saver_thread.html usr/share/doc/ola/classola_1_1_file_preference_saver_thread.png usr/share/doc/ola/classola_1_1_flag_3_01bool_01_4-members.html usr/share/doc/ola/classola_1_1_flag_3_01bool_01_4.html usr/share/doc/ola/classola_1_1_flag_3_01bool_01_4.png usr/share/doc/ola/classola_1_1_flag_3_01std_1_1string_01_4-members.html usr/share/doc/ola/classola_1_1_flag_3_01std_1_1string_01_4.html usr/share/doc/ola/classola_1_1_flag_3_01std_1_1string_01_4.png usr/share/doc/ola/classola_1_1_flag_interface-members.html usr/share/doc/ola/classola_1_1_flag_interface.html usr/share/doc/ola/classola_1_1_flag_interface.png usr/share/doc/ola/classola_1_1_flag_registerer-members.html usr/share/doc/ola/classola_1_1_flag_registerer.html usr/share/doc/ola/classola_1_1_flag_registry-members.html usr/share/doc/ola/classola_1_1_flag_registry.html usr/share/doc/ola/classola_1_1_flag-members.html usr/share/doc/ola/classola_1_1_flag.html usr/share/doc/ola/classola_1_1_flag.png usr/share/doc/ola/classola_1_1_function_callback0__0-members.html usr/share/doc/ola/classola_1_1_function_callback0__0.html usr/share/doc/ola/classola_1_1_function_callback0__0.png usr/share/doc/ola/classola_1_1_function_callback0__1-members.html usr/share/doc/ola/classola_1_1_function_callback0__1.html usr/share/doc/ola/classola_1_1_function_callback0__1.png usr/share/doc/ola/classola_1_1_function_callback0__2-members.html usr/share/doc/ola/classola_1_1_function_callback0__2.html usr/share/doc/ola/classola_1_1_function_callback0__2.png usr/share/doc/ola/classola_1_1_function_callback0__3-members.html usr/share/doc/ola/classola_1_1_function_callback0__3.html usr/share/doc/ola/classola_1_1_function_callback0__3.png usr/share/doc/ola/classola_1_1_function_callback0__4-members.html usr/share/doc/ola/classola_1_1_function_callback0__4.html usr/share/doc/ola/classola_1_1_function_callback0__4.png usr/share/doc/ola/classola_1_1_function_callback1__0-members.html usr/share/doc/ola/classola_1_1_function_callback1__0.html usr/share/doc/ola/classola_1_1_function_callback1__0.png usr/share/doc/ola/classola_1_1_function_callback1__1-members.html usr/share/doc/ola/classola_1_1_function_callback1__1.html usr/share/doc/ola/classola_1_1_function_callback1__1.png usr/share/doc/ola/classola_1_1_function_callback1__2-members.html usr/share/doc/ola/classola_1_1_function_callback1__2.html usr/share/doc/ola/classola_1_1_function_callback1__2.png usr/share/doc/ola/classola_1_1_function_callback1__3-members.html usr/share/doc/ola/classola_1_1_function_callback1__3.html usr/share/doc/ola/classola_1_1_function_callback1__3.png usr/share/doc/ola/classola_1_1_function_callback1__4-members.html usr/share/doc/ola/classola_1_1_function_callback1__4.html usr/share/doc/ola/classola_1_1_function_callback1__4.png usr/share/doc/ola/classola_1_1_function_callback2__0-members.html usr/share/doc/ola/classola_1_1_function_callback2__0.html usr/share/doc/ola/classola_1_1_function_callback2__0.png usr/share/doc/ola/classola_1_1_function_callback2__1-members.html usr/share/doc/ola/classola_1_1_function_callback2__1.html usr/share/doc/ola/classola_1_1_function_callback2__1.png usr/share/doc/ola/classola_1_1_function_callback2__2-members.html usr/share/doc/ola/classola_1_1_function_callback2__2.html usr/share/doc/ola/classola_1_1_function_callback2__2.png usr/share/doc/ola/classola_1_1_function_callback2__3-members.html usr/share/doc/ola/classola_1_1_function_callback2__3.html usr/share/doc/ola/classola_1_1_function_callback2__3.png usr/share/doc/ola/classola_1_1_function_callback2__4-members.html usr/share/doc/ola/classola_1_1_function_callback2__4.html usr/share/doc/ola/classola_1_1_function_callback2__4.png usr/share/doc/ola/classola_1_1_function_callback3__0-members.html usr/share/doc/ola/classola_1_1_function_callback3__0.html usr/share/doc/ola/classola_1_1_function_callback3__0.png usr/share/doc/ola/classola_1_1_function_callback3__1-members.html usr/share/doc/ola/classola_1_1_function_callback3__1.html usr/share/doc/ola/classola_1_1_function_callback3__1.png usr/share/doc/ola/classola_1_1_function_callback3__2-members.html usr/share/doc/ola/classola_1_1_function_callback3__2.html usr/share/doc/ola/classola_1_1_function_callback3__2.png usr/share/doc/ola/classola_1_1_function_callback3__3-members.html usr/share/doc/ola/classola_1_1_function_callback3__3.html usr/share/doc/ola/classola_1_1_function_callback3__3.png usr/share/doc/ola/classola_1_1_function_callback3__4-members.html usr/share/doc/ola/classola_1_1_function_callback3__4.html usr/share/doc/ola/classola_1_1_function_callback3__4.png usr/share/doc/ola/classola_1_1_function_callback4__0-members.html usr/share/doc/ola/classola_1_1_function_callback4__0.html usr/share/doc/ola/classola_1_1_function_callback4__0.png usr/share/doc/ola/classola_1_1_function_callback4__1-members.html usr/share/doc/ola/classola_1_1_function_callback4__1.html usr/share/doc/ola/classola_1_1_function_callback4__1.png usr/share/doc/ola/classola_1_1_function_callback4__2-members.html usr/share/doc/ola/classola_1_1_function_callback4__2.html usr/share/doc/ola/classola_1_1_function_callback4__2.png usr/share/doc/ola/classola_1_1_function_callback4__3-members.html usr/share/doc/ola/classola_1_1_function_callback4__3.html usr/share/doc/ola/classola_1_1_function_callback4__3.png usr/share/doc/ola/classola_1_1_function_callback4__4-members.html usr/share/doc/ola/classola_1_1_function_callback4__4.html usr/share/doc/ola/classola_1_1_function_callback4__4.png usr/share/doc/ola/classola_1_1_i_pv4_validator-members.html usr/share/doc/ola/classola_1_1_i_pv4_validator.html usr/share/doc/ola/classola_1_1_i_pv4_validator.png usr/share/doc/ola/classola_1_1_input_port-members.html usr/share/doc/ola/classola_1_1_input_port.html usr/share/doc/ola/classola_1_1_input_port.png usr/share/doc/ola/classola_1_1_int_map-members.html usr/share/doc/ola/classola_1_1_int_map.html usr/share/doc/ola/classola_1_1_int_map.png usr/share/doc/ola/classola_1_1_int_validator-members.html usr/share/doc/ola/classola_1_1_int_validator.html usr/share/doc/ola/classola_1_1_int_validator.png usr/share/doc/ola/classola_1_1_integer_variable-members.html usr/share/doc/ola/classola_1_1_integer_variable.html usr/share/doc/ola/classola_1_1_integer_variable.png usr/share/doc/ola/classola_1_1_linear_backoff_policy-members.html usr/share/doc/ola/classola_1_1_linear_backoff_policy.html usr/share/doc/ola/classola_1_1_linear_backoff_policy.png usr/share/doc/ola/classola_1_1_log_destination-members.html usr/share/doc/ola/classola_1_1_log_destination.html usr/share/doc/ola/classola_1_1_log_destination.png usr/share/doc/ola/classola_1_1_map_variable-members.html usr/share/doc/ola/classola_1_1_map_variable.html usr/share/doc/ola/classola_1_1_map_variable.png usr/share/doc/ola/classola_1_1_memory_preferences_factory-members.html usr/share/doc/ola/classola_1_1_memory_preferences_factory.html usr/share/doc/ola/classola_1_1_memory_preferences_factory.png usr/share/doc/ola/classola_1_1_memory_preferences-members.html usr/share/doc/ola/classola_1_1_memory_preferences.html usr/share/doc/ola/classola_1_1_memory_preferences.png usr/share/doc/ola/classola_1_1_method_callback0__0-members.html usr/share/doc/ola/classola_1_1_method_callback0__0.html usr/share/doc/ola/classola_1_1_method_callback0__0.png usr/share/doc/ola/classola_1_1_method_callback0__1-members.html usr/share/doc/ola/classola_1_1_method_callback0__1.html usr/share/doc/ola/classola_1_1_method_callback0__1.png usr/share/doc/ola/classola_1_1_method_callback0__2-members.html usr/share/doc/ola/classola_1_1_method_callback0__2.html usr/share/doc/ola/classola_1_1_method_callback0__2.png usr/share/doc/ola/classola_1_1_method_callback0__3-members.html usr/share/doc/ola/classola_1_1_method_callback0__3.html usr/share/doc/ola/classola_1_1_method_callback0__3.png usr/share/doc/ola/classola_1_1_method_callback0__4-members.html usr/share/doc/ola/classola_1_1_method_callback0__4.html usr/share/doc/ola/classola_1_1_method_callback0__4.png usr/share/doc/ola/classola_1_1_method_callback1__0-members.html usr/share/doc/ola/classola_1_1_method_callback1__0.html usr/share/doc/ola/classola_1_1_method_callback1__0.png usr/share/doc/ola/classola_1_1_method_callback1__1-members.html usr/share/doc/ola/classola_1_1_method_callback1__1.html usr/share/doc/ola/classola_1_1_method_callback1__1.png usr/share/doc/ola/classola_1_1_method_callback1__2-members.html usr/share/doc/ola/classola_1_1_method_callback1__2.html usr/share/doc/ola/classola_1_1_method_callback1__2.png usr/share/doc/ola/classola_1_1_method_callback1__3-members.html usr/share/doc/ola/classola_1_1_method_callback1__3.html usr/share/doc/ola/classola_1_1_method_callback1__3.png usr/share/doc/ola/classola_1_1_method_callback1__4-members.html usr/share/doc/ola/classola_1_1_method_callback1__4.html usr/share/doc/ola/classola_1_1_method_callback1__4.png usr/share/doc/ola/classola_1_1_method_callback2__0-members.html usr/share/doc/ola/classola_1_1_method_callback2__0.html usr/share/doc/ola/classola_1_1_method_callback2__0.png usr/share/doc/ola/classola_1_1_method_callback2__1-members.html usr/share/doc/ola/classola_1_1_method_callback2__1.html usr/share/doc/ola/classola_1_1_method_callback2__1.png usr/share/doc/ola/classola_1_1_method_callback2__2-members.html usr/share/doc/ola/classola_1_1_method_callback2__2.html usr/share/doc/ola/classola_1_1_method_callback2__2.png usr/share/doc/ola/classola_1_1_method_callback2__3-members.html usr/share/doc/ola/classola_1_1_method_callback2__3.html usr/share/doc/ola/classola_1_1_method_callback2__3.png usr/share/doc/ola/classola_1_1_method_callback2__4-members.html usr/share/doc/ola/classola_1_1_method_callback2__4.html usr/share/doc/ola/classola_1_1_method_callback2__4.png usr/share/doc/ola/classola_1_1_method_callback3__0-members.html usr/share/doc/ola/classola_1_1_method_callback3__0.html usr/share/doc/ola/classola_1_1_method_callback3__0.png usr/share/doc/ola/classola_1_1_method_callback3__1-members.html usr/share/doc/ola/classola_1_1_method_callback3__1.html usr/share/doc/ola/classola_1_1_method_callback3__1.png usr/share/doc/ola/classola_1_1_method_callback3__2-members.html usr/share/doc/ola/classola_1_1_method_callback3__2.html usr/share/doc/ola/classola_1_1_method_callback3__2.png usr/share/doc/ola/classola_1_1_method_callback3__3-members.html usr/share/doc/ola/classola_1_1_method_callback3__3.html usr/share/doc/ola/classola_1_1_method_callback3__3.png usr/share/doc/ola/classola_1_1_method_callback3__4-members.html usr/share/doc/ola/classola_1_1_method_callback3__4.html usr/share/doc/ola/classola_1_1_method_callback3__4.png usr/share/doc/ola/classola_1_1_method_callback4__0-members.html usr/share/doc/ola/classola_1_1_method_callback4__0.html usr/share/doc/ola/classola_1_1_method_callback4__0.png usr/share/doc/ola/classola_1_1_method_callback4__1-members.html usr/share/doc/ola/classola_1_1_method_callback4__1.html usr/share/doc/ola/classola_1_1_method_callback4__1.png usr/share/doc/ola/classola_1_1_method_callback4__2-members.html usr/share/doc/ola/classola_1_1_method_callback4__2.html usr/share/doc/ola/classola_1_1_method_callback4__2.png usr/share/doc/ola/classola_1_1_method_callback4__3-members.html usr/share/doc/ola/classola_1_1_method_callback4__3.html usr/share/doc/ola/classola_1_1_method_callback4__3.png usr/share/doc/ola/classola_1_1_method_callback4__4-members.html usr/share/doc/ola/classola_1_1_method_callback4__4.html usr/share/doc/ola/classola_1_1_method_callback4__4.png usr/share/doc/ola/classola_1_1_mock_clock-members.html usr/share/doc/ola/classola_1_1_mock_clock.html usr/share/doc/ola/classola_1_1_mock_clock.png usr/share/doc/ola/classola_1_1_multi_callback-members.html usr/share/doc/ola/classola_1_1_multi_callback.html usr/share/doc/ola/classola_1_1_multi_callback.png usr/share/doc/ola/classola_1_1_ola_callback_client-members.html usr/share/doc/ola/classola_1_1_ola_callback_client.html usr/share/doc/ola/classola_1_1_ola_callback_client.png usr/share/doc/ola/classola_1_1_ola_daemon-members.html usr/share/doc/ola/classola_1_1_ola_daemon.html usr/share/doc/ola/classola_1_1_ola_server_service_impl-members.html usr/share/doc/ola/classola_1_1_ola_server_service_impl.html usr/share/doc/ola/classola_1_1_ola_server_service_impl.png usr/share/doc/ola/classola_1_1_ola_server-members.html usr/share/doc/ola/classola_1_1_ola_server.html usr/share/doc/ola/classola_1_1_ola_server.png usr/share/doc/ola/classola_1_1_olad_h_t_t_p_server-members.html usr/share/doc/ola/classola_1_1_olad_h_t_t_p_server.html usr/share/doc/ola/classola_1_1_olad_h_t_t_p_server.png usr/share/doc/ola/classola_1_1_output_port-members.html usr/share/doc/ola/classola_1_1_output_port.html usr/share/doc/ola/classola_1_1_output_port.png usr/share/doc/ola/classola_1_1_patch_port_action-members.html usr/share/doc/ola/classola_1_1_patch_port_action.html usr/share/doc/ola/classola_1_1_patch_port_action.png usr/share/doc/ola/classola_1_1_plugin_adaptor-members.html usr/share/doc/ola/classola_1_1_plugin_adaptor.html usr/share/doc/ola/classola_1_1_plugin_adaptor.png usr/share/doc/ola/classola_1_1_plugin_loader-members.html usr/share/doc/ola/classola_1_1_plugin_loader.html usr/share/doc/ola/classola_1_1_plugin_loader.png usr/share/doc/ola/classola_1_1_plugin_manager-members.html usr/share/doc/ola/classola_1_1_plugin_manager.html usr/share/doc/ola/classola_1_1_plugin-members.html usr/share/doc/ola/classola_1_1_plugin.html usr/share/doc/ola/classola_1_1_plugin.png usr/share/doc/ola/classola_1_1_port_broker_interface-members.html usr/share/doc/ola/classola_1_1_port_broker_interface.html usr/share/doc/ola/classola_1_1_port_broker_interface.png usr/share/doc/ola/classola_1_1_port_broker-members.html usr/share/doc/ola/classola_1_1_port_broker.html usr/share/doc/ola/classola_1_1_port_broker.png usr/share/doc/ola/classola_1_1_port_manager-members.html usr/share/doc/ola/classola_1_1_port_manager.html usr/share/doc/ola/classola_1_1_port_priority_inherit_action-members.html usr/share/doc/ola/classola_1_1_port_priority_inherit_action.html usr/share/doc/ola/classola_1_1_port_priority_inherit_action.png usr/share/doc/ola/classola_1_1_port_priority_static_action-members.html usr/share/doc/ola/classola_1_1_port_priority_static_action.html usr/share/doc/ola/classola_1_1_port_priority_static_action.png usr/share/doc/ola/classola_1_1_port-members.html usr/share/doc/ola/classola_1_1_port.html usr/share/doc/ola/classola_1_1_port.png usr/share/doc/ola/classola_1_1_preferences_factory-members.html usr/share/doc/ola/classola_1_1_preferences_factory.html usr/share/doc/ola/classola_1_1_preferences_factory.png usr/share/doc/ola/classola_1_1_preferences-members.html usr/share/doc/ola/classola_1_1_preferences.html usr/share/doc/ola/classola_1_1_preferences.png usr/share/doc/ola/classola_1_1_r_d_m_h_t_t_p_module-members.html usr/share/doc/ola/classola_1_1_r_d_m_h_t_t_p_module.html usr/share/doc/ola/classola_1_1_sequence_number-members.html usr/share/doc/ola/classola_1_1_sequence_number.html usr/share/doc/ola/classola_1_1_service_generator-members.html usr/share/doc/ola/classola_1_1_service_generator.html usr/share/doc/ola/classola_1_1_set_merge_mode_action-members.html usr/share/doc/ola/classola_1_1_set_merge_mode_action.html usr/share/doc/ola/classola_1_1_set_merge_mode_action.png usr/share/doc/ola/classola_1_1_set_name_action-members.html usr/share/doc/ola/classola_1_1_set_name_action.html usr/share/doc/ola/classola_1_1_set_name_action.png usr/share/doc/ola/classola_1_1_set_validator-members.html usr/share/doc/ola/classola_1_1_set_validator.html usr/share/doc/ola/classola_1_1_set_validator.png usr/share/doc/ola/classola_1_1_single_use_callback0_3_01void_01_4-members.html usr/share/doc/ola/classola_1_1_single_use_callback0_3_01void_01_4.html usr/share/doc/ola/classola_1_1_single_use_callback0_3_01void_01_4.png usr/share/doc/ola/classola_1_1_single_use_callback0-members.html usr/share/doc/ola/classola_1_1_single_use_callback0.html usr/share/doc/ola/classola_1_1_single_use_callback0.png usr/share/doc/ola/classola_1_1_single_use_callback1_3_01void_00_01_arg0_01_4-members.html usr/share/doc/ola/classola_1_1_single_use_callback1_3_01void_00_01_arg0_01_4.html usr/share/doc/ola/classola_1_1_single_use_callback1_3_01void_00_01_arg0_01_4.png usr/share/doc/ola/classola_1_1_single_use_callback1-members.html usr/share/doc/ola/classola_1_1_single_use_callback1.html usr/share/doc/ola/classola_1_1_single_use_callback1.png usr/share/doc/ola/classola_1_1_single_use_callback2_3_01void_00_01_arg0_00_01_arg1_01_4-members.html usr/share/doc/ola/classola_1_1_single_use_callback2_3_01void_00_01_arg0_00_01_arg1_01_4.html usr/share/doc/ola/classola_1_1_single_use_callback2_3_01void_00_01_arg0_00_01_arg1_01_4.png usr/share/doc/ola/classola_1_1_single_use_callback2-members.html usr/share/doc/ola/classola_1_1_single_use_callback2.html usr/share/doc/ola/classola_1_1_single_use_callback2.png usr/share/doc/ola/classola_1_1_single_use_callback3_3_01void_00_01_arg0_00_01_arg1_00_01_arg2_01_4-members.html usr/share/doc/ola/classola_1_1_single_use_callback3_3_01void_00_01_arg0_00_01_arg1_00_01_arg2_01_4.html usr/share/doc/ola/classola_1_1_single_use_callback3_3_01void_00_01_arg0_00_01_arg1_00_01_arg2_01_4.png usr/share/doc/ola/classola_1_1_single_use_callback3-members.html usr/share/doc/ola/classola_1_1_single_use_callback3.html usr/share/doc/ola/classola_1_1_single_use_callback3.png usr/share/doc/ola/classola_1_1_single_use_callback4_3_01void_00_01_arg0_00_01_arg1_00_01_arg2_00_01_arg3_01_4-members.html usr/share/doc/ola/classola_1_1_single_use_callback4_3_01void_00_01_arg0_00_01_arg1_00_01_arg2_00_01_arg3_01_4.html usr/share/doc/ola/classola_1_1_single_use_callback4_3_01void_00_01_arg0_00_01_arg1_00_01_arg2_00_01_arg3_01_4.png usr/share/doc/ola/classola_1_1_single_use_callback4-members.html usr/share/doc/ola/classola_1_1_single_use_callback4.html usr/share/doc/ola/classola_1_1_single_use_callback4.png usr/share/doc/ola/classola_1_1_std_error_log_destination-members.html usr/share/doc/ola/classola_1_1_std_error_log_destination.html usr/share/doc/ola/classola_1_1_std_error_log_destination.png usr/share/doc/ola/classola_1_1_string_validator-members.html usr/share/doc/ola/classola_1_1_string_validator.html usr/share/doc/ola/classola_1_1_string_validator.png usr/share/doc/ola/classola_1_1_string_variable-members.html usr/share/doc/ola/classola_1_1_string_variable.html usr/share/doc/ola/classola_1_1_string_variable.png usr/share/doc/ola/classola_1_1_syslog_destination-members.html usr/share/doc/ola/classola_1_1_syslog_destination.html usr/share/doc/ola/classola_1_1_syslog_destination.png usr/share/doc/ola/classola_1_1_time_interval-members.html usr/share/doc/ola/classola_1_1_time_interval.html usr/share/doc/ola/classola_1_1_time_stamp-members.html usr/share/doc/ola/classola_1_1_time_stamp.html usr/share/doc/ola/classola_1_1_token_bucket-members.html usr/share/doc/ola/classola_1_1_token_bucket.html usr/share/doc/ola/classola_1_1_u_int_map-members.html usr/share/doc/ola/classola_1_1_u_int_map.html usr/share/doc/ola/classola_1_1_u_int_map.png usr/share/doc/ola/classola_1_1_u_int_validator-members.html usr/share/doc/ola/classola_1_1_u_int_validator.html usr/share/doc/ola/classola_1_1_u_int_validator.png usr/share/doc/ola/classola_1_1_universe_store-members.html usr/share/doc/ola/classola_1_1_universe_store.html usr/share/doc/ola/classola_1_1_universe-members.html usr/share/doc/ola/classola_1_1_universe.html usr/share/doc/ola/classola_1_1_universe.png usr/share/doc/ola/classola_1_1_unix_syslog_destination-members.html usr/share/doc/ola/classola_1_1_unix_syslog_destination.html usr/share/doc/ola/classola_1_1_unix_syslog_destination.png usr/share/doc/ola/classola_1_1_validator-members.html usr/share/doc/ola/classola_1_1_validator.html usr/share/doc/ola/classola_1_1_validator.png usr/share/doc/ola/classola_1_1_watchdog-members.html usr/share/doc/ola/classola_1_1_watchdog.html usr/share/doc/ola/classola_1_1acn_1_1_base_d_m_p_address-members.html usr/share/doc/ola/classola_1_1acn_1_1_base_d_m_p_address.html usr/share/doc/ola/classola_1_1acn_1_1_base_d_m_p_address.png usr/share/doc/ola/classola_1_1acn_1_1_base_inflator-members.html usr/share/doc/ola/classola_1_1acn_1_1_base_inflator.html usr/share/doc/ola/classola_1_1acn_1_1_base_inflator.png usr/share/doc/ola/classola_1_1acn_1_1_c_i_d_impl-members.html usr/share/doc/ola/classola_1_1acn_1_1_c_i_d_impl.html usr/share/doc/ola/classola_1_1acn_1_1_c_i_d-members.html usr/share/doc/ola/classola_1_1acn_1_1_c_i_d.html usr/share/doc/ola/classola_1_1acn_1_1_d_m_p_address_data-members.html usr/share/doc/ola/classola_1_1acn_1_1_d_m_p_address_data.html usr/share/doc/ola/classola_1_1acn_1_1_d_m_p_address-members.html usr/share/doc/ola/classola_1_1acn_1_1_d_m_p_address.html usr/share/doc/ola/classola_1_1acn_1_1_d_m_p_address.png usr/share/doc/ola/classola_1_1acn_1_1_d_m_p_e131_inflator-members.html usr/share/doc/ola/classola_1_1acn_1_1_d_m_p_e131_inflator.html usr/share/doc/ola/classola_1_1acn_1_1_d_m_p_e131_inflator.png usr/share/doc/ola/classola_1_1acn_1_1_d_m_p_get_property-members.html usr/share/doc/ola/classola_1_1acn_1_1_d_m_p_get_property.html usr/share/doc/ola/classola_1_1acn_1_1_d_m_p_get_property.png usr/share/doc/ola/classola_1_1acn_1_1_d_m_p_header-members.html usr/share/doc/ola/classola_1_1acn_1_1_d_m_p_header.html usr/share/doc/ola/classola_1_1acn_1_1_d_m_p_inflator-members.html usr/share/doc/ola/classola_1_1acn_1_1_d_m_p_inflator.html usr/share/doc/ola/classola_1_1acn_1_1_d_m_p_inflator.png usr/share/doc/ola/classola_1_1acn_1_1_d_m_p_p_d_u-members.html usr/share/doc/ola/classola_1_1acn_1_1_d_m_p_p_d_u.html usr/share/doc/ola/classola_1_1acn_1_1_d_m_p_p_d_u.png usr/share/doc/ola/classola_1_1acn_1_1_d_m_p_set_property-members.html usr/share/doc/ola/classola_1_1acn_1_1_d_m_p_set_property.html usr/share/doc/ola/classola_1_1acn_1_1_d_m_p_set_property.png usr/share/doc/ola/classola_1_1acn_1_1_e131_discovery_inflator-members.html usr/share/doc/ola/classola_1_1acn_1_1_e131_discovery_inflator.html usr/share/doc/ola/classola_1_1acn_1_1_e131_discovery_inflator.png usr/share/doc/ola/classola_1_1acn_1_1_e131_header-members.html usr/share/doc/ola/classola_1_1acn_1_1_e131_header.html usr/share/doc/ola/classola_1_1acn_1_1_e131_header.png usr/share/doc/ola/classola_1_1acn_1_1_e131_inflator_rev2-members.html usr/share/doc/ola/classola_1_1acn_1_1_e131_inflator_rev2.html usr/share/doc/ola/classola_1_1acn_1_1_e131_inflator_rev2.png usr/share/doc/ola/classola_1_1acn_1_1_e131_inflator-members.html usr/share/doc/ola/classola_1_1acn_1_1_e131_inflator.html usr/share/doc/ola/classola_1_1acn_1_1_e131_inflator.png usr/share/doc/ola/classola_1_1acn_1_1_e131_node-members.html usr/share/doc/ola/classola_1_1acn_1_1_e131_node.html usr/share/doc/ola/classola_1_1acn_1_1_e131_p_d_u-members.html usr/share/doc/ola/classola_1_1acn_1_1_e131_p_d_u.html usr/share/doc/ola/classola_1_1acn_1_1_e131_p_d_u.png usr/share/doc/ola/classola_1_1acn_1_1_e131_rev2_header-members.html usr/share/doc/ola/classola_1_1acn_1_1_e131_rev2_header.html usr/share/doc/ola/classola_1_1acn_1_1_e131_rev2_header.png usr/share/doc/ola/classola_1_1acn_1_1_e131_sender-members.html usr/share/doc/ola/classola_1_1acn_1_1_e131_sender.html usr/share/doc/ola/classola_1_1acn_1_1_e133_header-members.html usr/share/doc/ola/classola_1_1acn_1_1_e133_header.html usr/share/doc/ola/classola_1_1acn_1_1_e133_inflator-members.html usr/share/doc/ola/classola_1_1acn_1_1_e133_inflator.html usr/share/doc/ola/classola_1_1acn_1_1_e133_inflator.png usr/share/doc/ola/classola_1_1acn_1_1_e133_p_d_u-members.html usr/share/doc/ola/classola_1_1acn_1_1_e133_p_d_u.html usr/share/doc/ola/classola_1_1acn_1_1_e133_p_d_u.png usr/share/doc/ola/classola_1_1acn_1_1_e133_status_inflator-members.html usr/share/doc/ola/classola_1_1acn_1_1_e133_status_inflator.html usr/share/doc/ola/classola_1_1acn_1_1_e133_status_inflator.png usr/share/doc/ola/classola_1_1acn_1_1_e133_status_p_d_u-members.html usr/share/doc/ola/classola_1_1acn_1_1_e133_status_p_d_u.html usr/share/doc/ola/classola_1_1acn_1_1_e133_status_p_d_u.png usr/share/doc/ola/classola_1_1acn_1_1_header_set-members.html usr/share/doc/ola/classola_1_1acn_1_1_header_set.html usr/share/doc/ola/classola_1_1acn_1_1_incoming_stream_transport-members.html usr/share/doc/ola/classola_1_1acn_1_1_incoming_stream_transport.html usr/share/doc/ola/classola_1_1acn_1_1_incoming_t_c_p_transport-members.html usr/share/doc/ola/classola_1_1acn_1_1_incoming_t_c_p_transport.html usr/share/doc/ola/classola_1_1acn_1_1_incoming_u_d_p_transport-members.html usr/share/doc/ola/classola_1_1acn_1_1_incoming_u_d_p_transport.html usr/share/doc/ola/classola_1_1acn_1_1_inflator_interface-members.html usr/share/doc/ola/classola_1_1acn_1_1_inflator_interface.html usr/share/doc/ola/classola_1_1acn_1_1_inflator_interface.png usr/share/doc/ola/classola_1_1acn_1_1_null_inflator-members.html usr/share/doc/ola/classola_1_1acn_1_1_null_inflator.html usr/share/doc/ola/classola_1_1acn_1_1_null_inflator.png usr/share/doc/ola/classola_1_1acn_1_1_outgoing_transport-members.html usr/share/doc/ola/classola_1_1acn_1_1_outgoing_transport.html usr/share/doc/ola/classola_1_1acn_1_1_outgoing_transport.png usr/share/doc/ola/classola_1_1acn_1_1_outgoing_u_d_p_transport_impl-members.html usr/share/doc/ola/classola_1_1acn_1_1_outgoing_u_d_p_transport_impl.html usr/share/doc/ola/classola_1_1acn_1_1_outgoing_u_d_p_transport-members.html usr/share/doc/ola/classola_1_1acn_1_1_outgoing_u_d_p_transport.html usr/share/doc/ola/classola_1_1acn_1_1_outgoing_u_d_p_transport.png usr/share/doc/ola/classola_1_1acn_1_1_p_d_u_block-members.html usr/share/doc/ola/classola_1_1acn_1_1_p_d_u_block.html usr/share/doc/ola/classola_1_1acn_1_1_p_d_u-members.html usr/share/doc/ola/classola_1_1acn_1_1_p_d_u.html usr/share/doc/ola/classola_1_1acn_1_1_p_d_u.png usr/share/doc/ola/classola_1_1acn_1_1_preamble_packer-members.html usr/share/doc/ola/classola_1_1acn_1_1_preamble_packer.html usr/share/doc/ola/classola_1_1acn_1_1_r_d_m_inflator-members.html usr/share/doc/ola/classola_1_1acn_1_1_r_d_m_inflator.html usr/share/doc/ola/classola_1_1acn_1_1_r_d_m_inflator.png usr/share/doc/ola/classola_1_1acn_1_1_r_d_m_p_d_u-members.html usr/share/doc/ola/classola_1_1acn_1_1_r_d_m_p_d_u.html usr/share/doc/ola/classola_1_1acn_1_1_r_d_m_p_d_u.png usr/share/doc/ola/classola_1_1acn_1_1_range_d_m_p_address-members.html usr/share/doc/ola/classola_1_1acn_1_1_range_d_m_p_address.html usr/share/doc/ola/classola_1_1acn_1_1_range_d_m_p_address.png usr/share/doc/ola/classola_1_1acn_1_1_root_header-members.html usr/share/doc/ola/classola_1_1acn_1_1_root_header.html usr/share/doc/ola/classola_1_1acn_1_1_root_inflator-members.html usr/share/doc/ola/classola_1_1acn_1_1_root_inflator.html usr/share/doc/ola/classola_1_1acn_1_1_root_inflator.png usr/share/doc/ola/classola_1_1acn_1_1_root_p_d_u-members.html usr/share/doc/ola/classola_1_1acn_1_1_root_p_d_u.html usr/share/doc/ola/classola_1_1acn_1_1_root_p_d_u.png usr/share/doc/ola/classola_1_1acn_1_1_root_sender-members.html usr/share/doc/ola/classola_1_1acn_1_1_root_sender.html usr/share/doc/ola/classola_1_1acn_1_1_tracked_source-members.html usr/share/doc/ola/classola_1_1acn_1_1_tracked_source.html usr/share/doc/ola/classola_1_1acn_1_1_transport_header-members.html usr/share/doc/ola/classola_1_1acn_1_1_transport_header.html usr/share/doc/ola/classola_1_1base_1_1_version-members.html usr/share/doc/ola/classola_1_1base_1_1_version.html usr/share/doc/ola/classola_1_1client_1_1_base_client_wrapper-members.html usr/share/doc/ola/classola_1_1client_1_1_base_client_wrapper.html usr/share/doc/ola/classola_1_1client_1_1_base_client_wrapper.png usr/share/doc/ola/classola_1_1client_1_1_client_r_d_m_a_p_i_shim-members.html usr/share/doc/ola/classola_1_1client_1_1_client_r_d_m_a_p_i_shim.html usr/share/doc/ola/classola_1_1client_1_1_client_r_d_m_a_p_i_shim.png usr/share/doc/ola/classola_1_1client_1_1_client_types_factory-members.html usr/share/doc/ola/classola_1_1client_1_1_client_types_factory.html usr/share/doc/ola/classola_1_1client_1_1_generic_client_wrapper-members.html usr/share/doc/ola/classola_1_1client_1_1_generic_client_wrapper.html usr/share/doc/ola/classola_1_1client_1_1_generic_client_wrapper.png usr/share/doc/ola/classola_1_1client_1_1_ola_client_core-members.html usr/share/doc/ola/classola_1_1client_1_1_ola_client_core.html usr/share/doc/ola/classola_1_1client_1_1_ola_client_core.png usr/share/doc/ola/classola_1_1client_1_1_ola_client-members.html usr/share/doc/ola/classola_1_1client_1_1_ola_client.html usr/share/doc/ola/classola_1_1client_1_1_ola_device-members.html usr/share/doc/ola/classola_1_1client_1_1_ola_device.html usr/share/doc/ola/classola_1_1client_1_1_ola_input_port-members.html usr/share/doc/ola/classola_1_1client_1_1_ola_input_port.html usr/share/doc/ola/classola_1_1client_1_1_ola_input_port.png usr/share/doc/ola/classola_1_1client_1_1_ola_output_port-members.html usr/share/doc/ola/classola_1_1client_1_1_ola_output_port.html usr/share/doc/ola/classola_1_1client_1_1_ola_output_port.png usr/share/doc/ola/classola_1_1client_1_1_ola_plugin-members.html usr/share/doc/ola/classola_1_1client_1_1_ola_plugin.html usr/share/doc/ola/classola_1_1client_1_1_ola_port-members.html usr/share/doc/ola/classola_1_1client_1_1_ola_port.html usr/share/doc/ola/classola_1_1client_1_1_ola_port.png usr/share/doc/ola/classola_1_1client_1_1_ola_universe-members.html usr/share/doc/ola/classola_1_1client_1_1_ola_universe.html usr/share/doc/ola/classola_1_1client_1_1_result-members.html usr/share/doc/ola/classola_1_1client_1_1_result.html usr/share/doc/ola/classola_1_1client_1_1_streaming_client_1_1_options-members.html usr/share/doc/ola/classola_1_1client_1_1_streaming_client_1_1_options.html usr/share/doc/ola/classola_1_1client_1_1_streaming_client_interface_1_1_send_args-members.html usr/share/doc/ola/classola_1_1client_1_1_streaming_client_interface_1_1_send_args.html usr/share/doc/ola/classola_1_1client_1_1_streaming_client_interface-members.html usr/share/doc/ola/classola_1_1client_1_1_streaming_client_interface.html usr/share/doc/ola/classola_1_1client_1_1_streaming_client_interface.png usr/share/doc/ola/classola_1_1client_1_1_streaming_client-members.html usr/share/doc/ola/classola_1_1client_1_1_streaming_client.html usr/share/doc/ola/classola_1_1client_1_1_streaming_client.png usr/share/doc/ola/classola_1_1device__alias__pair-members.html usr/share/doc/ola/classola_1_1device__alias__pair.html usr/share/doc/ola/classola_1_1dmx_1_1_run_length_encoder-members.html usr/share/doc/ola/classola_1_1dmx_1_1_run_length_encoder.html usr/share/doc/ola/classola_1_1e133_1_1_device_manager-members.html usr/share/doc/ola/classola_1_1e133_1_1_device_manager.html usr/share/doc/ola/classola_1_1e133_1_1_e133_message-members.html usr/share/doc/ola/classola_1_1e133_1_1_e133_message.html usr/share/doc/ola/classola_1_1e133_1_1_e133_message.png usr/share/doc/ola/classola_1_1e133_1_1_e133_r_d_m_message-members.html usr/share/doc/ola/classola_1_1e133_1_1_e133_r_d_m_message.html usr/share/doc/ola/classola_1_1e133_1_1_e133_r_d_m_message.png usr/share/doc/ola/classola_1_1e133_1_1_e133_receiver-members.html usr/share/doc/ola/classola_1_1e133_1_1_e133_receiver.html usr/share/doc/ola/classola_1_1e133_1_1_e133_status_message-members.html usr/share/doc/ola/classola_1_1e133_1_1_e133_status_message.html usr/share/doc/ola/classola_1_1e133_1_1_e133_status_message.png usr/share/doc/ola/classola_1_1e133_1_1_message_builder-members.html usr/share/doc/ola/classola_1_1e133_1_1_message_builder.html usr/share/doc/ola/classola_1_1http_1_1_h_t_t_p_request-members.html usr/share/doc/ola/classola_1_1http_1_1_h_t_t_p_request.html usr/share/doc/ola/classola_1_1http_1_1_h_t_t_p_response-members.html usr/share/doc/ola/classola_1_1http_1_1_h_t_t_p_response.html usr/share/doc/ola/classola_1_1http_1_1_h_t_t_p_server-members.html usr/share/doc/ola/classola_1_1http_1_1_h_t_t_p_server.html usr/share/doc/ola/classola_1_1http_1_1_h_t_t_p_server.png usr/share/doc/ola/classola_1_1http_1_1_ola_h_t_t_p_server-members.html usr/share/doc/ola/classola_1_1http_1_1_ola_h_t_t_p_server.html usr/share/doc/ola/classola_1_1http_1_1_ola_h_t_t_p_server.png usr/share/doc/ola/classola_1_1io_1_1_bidirectional_file_descriptor-members.html usr/share/doc/ola/classola_1_1io_1_1_bidirectional_file_descriptor.html usr/share/doc/ola/classola_1_1io_1_1_bidirectional_file_descriptor.png usr/share/doc/ola/classola_1_1io_1_1_big_endian_input_stream_adaptor-members.html usr/share/doc/ola/classola_1_1io_1_1_big_endian_input_stream_adaptor.html usr/share/doc/ola/classola_1_1io_1_1_big_endian_input_stream_adaptor.png usr/share/doc/ola/classola_1_1io_1_1_big_endian_input_stream_interface-members.html usr/share/doc/ola/classola_1_1io_1_1_big_endian_input_stream_interface.html usr/share/doc/ola/classola_1_1io_1_1_big_endian_input_stream_interface.png usr/share/doc/ola/classola_1_1io_1_1_big_endian_input_stream-members.html usr/share/doc/ola/classola_1_1io_1_1_big_endian_input_stream.html usr/share/doc/ola/classola_1_1io_1_1_big_endian_input_stream.png usr/share/doc/ola/classola_1_1io_1_1_big_endian_output_stream_adaptor-members.html usr/share/doc/ola/classola_1_1io_1_1_big_endian_output_stream_adaptor.html usr/share/doc/ola/classola_1_1io_1_1_big_endian_output_stream_adaptor.png usr/share/doc/ola/classola_1_1io_1_1_big_endian_output_stream_interface-members.html usr/share/doc/ola/classola_1_1io_1_1_big_endian_output_stream_interface.html usr/share/doc/ola/classola_1_1io_1_1_big_endian_output_stream_interface.png usr/share/doc/ola/classola_1_1io_1_1_big_endian_output_stream-members.html usr/share/doc/ola/classola_1_1io_1_1_big_endian_output_stream.html usr/share/doc/ola/classola_1_1io_1_1_big_endian_output_stream.png usr/share/doc/ola/classola_1_1io_1_1_connected_descriptor-members.html usr/share/doc/ola/classola_1_1io_1_1_connected_descriptor.html usr/share/doc/ola/classola_1_1io_1_1_connected_descriptor.png usr/share/doc/ola/classola_1_1io_1_1_device_descriptor-members.html usr/share/doc/ola/classola_1_1io_1_1_device_descriptor.html usr/share/doc/ola/classola_1_1io_1_1_device_descriptor.png usr/share/doc/ola/classola_1_1io_1_1_e_poll_data-members.html usr/share/doc/ola/classola_1_1io_1_1_e_poll_data.html usr/share/doc/ola/classola_1_1io_1_1_e_poller-members.html usr/share/doc/ola/classola_1_1io_1_1_e_poller.html usr/share/doc/ola/classola_1_1io_1_1_e_poller.png usr/share/doc/ola/classola_1_1io_1_1_event_holder-members.html usr/share/doc/ola/classola_1_1io_1_1_event_holder.html usr/share/doc/ola/classola_1_1io_1_1_i_o_queue-members.html usr/share/doc/ola/classola_1_1io_1_1_i_o_queue.html usr/share/doc/ola/classola_1_1io_1_1_i_o_queue.png usr/share/doc/ola/classola_1_1io_1_1_i_o_stack-members.html usr/share/doc/ola/classola_1_1io_1_1_i_o_stack.html usr/share/doc/ola/classola_1_1io_1_1_i_o_stack.png usr/share/doc/ola/classola_1_1io_1_1_i_o_vec_interface-members.html usr/share/doc/ola/classola_1_1io_1_1_i_o_vec_interface.html usr/share/doc/ola/classola_1_1io_1_1_i_o_vec_interface.png usr/share/doc/ola/classola_1_1io_1_1_input_buffer_interface-members.html usr/share/doc/ola/classola_1_1io_1_1_input_buffer_interface.html usr/share/doc/ola/classola_1_1io_1_1_input_buffer_interface.png usr/share/doc/ola/classola_1_1io_1_1_input_stream_interface-members.html usr/share/doc/ola/classola_1_1io_1_1_input_stream_interface.html usr/share/doc/ola/classola_1_1io_1_1_input_stream_interface.png usr/share/doc/ola/classola_1_1io_1_1_input_stream-members.html usr/share/doc/ola/classola_1_1io_1_1_input_stream.html usr/share/doc/ola/classola_1_1io_1_1_input_stream.png usr/share/doc/ola/classola_1_1io_1_1_k_queue_data-members.html usr/share/doc/ola/classola_1_1io_1_1_k_queue_data.html usr/share/doc/ola/classola_1_1io_1_1_k_queue_poller-members.html usr/share/doc/ola/classola_1_1io_1_1_k_queue_poller.html usr/share/doc/ola/classola_1_1io_1_1_k_queue_poller.png usr/share/doc/ola/classola_1_1io_1_1_linux_helper-members.html usr/share/doc/ola/classola_1_1io_1_1_linux_helper.html usr/share/doc/ola/classola_1_1io_1_1_loopback_descriptor-members.html usr/share/doc/ola/classola_1_1io_1_1_loopback_descriptor.html usr/share/doc/ola/classola_1_1io_1_1_loopback_descriptor.png usr/share/doc/ola/classola_1_1io_1_1_memory_block_pool-members.html usr/share/doc/ola/classola_1_1io_1_1_memory_block_pool.html usr/share/doc/ola/classola_1_1io_1_1_memory_block-members.html usr/share/doc/ola/classola_1_1io_1_1_memory_block.html usr/share/doc/ola/classola_1_1io_1_1_memory_buffer-members.html usr/share/doc/ola/classola_1_1io_1_1_memory_buffer.html usr/share/doc/ola/classola_1_1io_1_1_memory_buffer.png usr/share/doc/ola/classola_1_1io_1_1_non_blocking_sender-members.html usr/share/doc/ola/classola_1_1io_1_1_non_blocking_sender.html usr/share/doc/ola/classola_1_1io_1_1_output_buffer_interface-members.html usr/share/doc/ola/classola_1_1io_1_1_output_buffer_interface.html usr/share/doc/ola/classola_1_1io_1_1_output_buffer_interface.png usr/share/doc/ola/classola_1_1io_1_1_output_stream_interface-members.html usr/share/doc/ola/classola_1_1io_1_1_output_stream_interface.html usr/share/doc/ola/classola_1_1io_1_1_output_stream_interface.png usr/share/doc/ola/classola_1_1io_1_1_output_stream-members.html usr/share/doc/ola/classola_1_1io_1_1_output_stream.html usr/share/doc/ola/classola_1_1io_1_1_output_stream.png usr/share/doc/ola/classola_1_1io_1_1_pipe_descriptor-members.html usr/share/doc/ola/classola_1_1io_1_1_pipe_descriptor.html usr/share/doc/ola/classola_1_1io_1_1_pipe_descriptor.png usr/share/doc/ola/classola_1_1io_1_1_poll_data-members.html usr/share/doc/ola/classola_1_1io_1_1_poll_data.html usr/share/doc/ola/classola_1_1io_1_1_poller_interface-members.html usr/share/doc/ola/classola_1_1io_1_1_poller_interface.html usr/share/doc/ola/classola_1_1io_1_1_poller_interface.png usr/share/doc/ola/classola_1_1io_1_1_read_file_descriptor-members.html usr/share/doc/ola/classola_1_1io_1_1_read_file_descriptor.html usr/share/doc/ola/classola_1_1io_1_1_read_file_descriptor.png usr/share/doc/ola/classola_1_1io_1_1_select_poller-members.html usr/share/doc/ola/classola_1_1io_1_1_select_poller.html usr/share/doc/ola/classola_1_1io_1_1_select_poller.png usr/share/doc/ola/classola_1_1io_1_1_select_server_interface-members.html usr/share/doc/ola/classola_1_1io_1_1_select_server_interface.html usr/share/doc/ola/classola_1_1io_1_1_select_server_interface.png usr/share/doc/ola/classola_1_1io_1_1_select_server-members.html usr/share/doc/ola/classola_1_1io_1_1_select_server.html usr/share/doc/ola/classola_1_1io_1_1_select_server.png usr/share/doc/ola/classola_1_1io_1_1_stdin_handler-members.html usr/share/doc/ola/classola_1_1io_1_1_stdin_handler.html usr/share/doc/ola/classola_1_1io_1_1_timeout_manager-members.html usr/share/doc/ola/classola_1_1io_1_1_timeout_manager.html usr/share/doc/ola/classola_1_1io_1_1_unix_socket-members.html usr/share/doc/ola/classola_1_1io_1_1_unix_socket.html usr/share/doc/ola/classola_1_1io_1_1_unix_socket.png usr/share/doc/ola/classola_1_1io_1_1_unmanaged_file_descriptor-members.html usr/share/doc/ola/classola_1_1io_1_1_unmanaged_file_descriptor.html usr/share/doc/ola/classola_1_1io_1_1_unmanaged_file_descriptor.png usr/share/doc/ola/classola_1_1io_1_1_windows_poller_descriptor-members.html usr/share/doc/ola/classola_1_1io_1_1_windows_poller_descriptor.html usr/share/doc/ola/classola_1_1io_1_1_windows_poller-members.html usr/share/doc/ola/classola_1_1io_1_1_windows_poller.html usr/share/doc/ola/classola_1_1io_1_1_windows_poller.png usr/share/doc/ola/classola_1_1io_1_1_write_file_descriptor-members.html usr/share/doc/ola/classola_1_1io_1_1_write_file_descriptor.html usr/share/doc/ola/classola_1_1io_1_1_write_file_descriptor.png usr/share/doc/ola/classola_1_1messaging_1_1_basic_message_field-members.html usr/share/doc/ola/classola_1_1messaging_1_1_basic_message_field.html usr/share/doc/ola/classola_1_1messaging_1_1_basic_message_field.png usr/share/doc/ola/classola_1_1messaging_1_1_bool_field_descriptor-members.html usr/share/doc/ola/classola_1_1messaging_1_1_bool_field_descriptor.html usr/share/doc/ola/classola_1_1messaging_1_1_bool_field_descriptor.png usr/share/doc/ola/classola_1_1messaging_1_1_bool_message_field-members.html usr/share/doc/ola/classola_1_1messaging_1_1_bool_message_field.html usr/share/doc/ola/classola_1_1messaging_1_1_bool_message_field.png usr/share/doc/ola/classola_1_1messaging_1_1_descriptor-members.html usr/share/doc/ola/classola_1_1messaging_1_1_descriptor.html usr/share/doc/ola/classola_1_1messaging_1_1_descriptor.png usr/share/doc/ola/classola_1_1messaging_1_1_field_descriptor_group-members.html usr/share/doc/ola/classola_1_1messaging_1_1_field_descriptor_group.html usr/share/doc/ola/classola_1_1messaging_1_1_field_descriptor_group.png usr/share/doc/ola/classola_1_1messaging_1_1_field_descriptor_interface-members.html usr/share/doc/ola/classola_1_1messaging_1_1_field_descriptor_interface.html usr/share/doc/ola/classola_1_1messaging_1_1_field_descriptor_interface.png usr/share/doc/ola/classola_1_1messaging_1_1_field_descriptor_visitor-members.html usr/share/doc/ola/classola_1_1messaging_1_1_field_descriptor_visitor.html usr/share/doc/ola/classola_1_1messaging_1_1_field_descriptor_visitor.png usr/share/doc/ola/classola_1_1messaging_1_1_field_descriptor-members.html usr/share/doc/ola/classola_1_1messaging_1_1_field_descriptor.html usr/share/doc/ola/classola_1_1messaging_1_1_field_descriptor.png usr/share/doc/ola/classola_1_1messaging_1_1_generic_message_printer-members.html usr/share/doc/ola/classola_1_1messaging_1_1_generic_message_printer.html usr/share/doc/ola/classola_1_1messaging_1_1_generic_message_printer.png usr/share/doc/ola/classola_1_1messaging_1_1_group_message_field-members.html usr/share/doc/ola/classola_1_1messaging_1_1_group_message_field.html usr/share/doc/ola/classola_1_1messaging_1_1_group_message_field.png usr/share/doc/ola/classola_1_1messaging_1_1_i_p_v4_field_descriptor-members.html usr/share/doc/ola/classola_1_1messaging_1_1_i_p_v4_field_descriptor.html usr/share/doc/ola/classola_1_1messaging_1_1_i_p_v4_field_descriptor.png usr/share/doc/ola/classola_1_1messaging_1_1_i_p_v4_message_field-members.html usr/share/doc/ola/classola_1_1messaging_1_1_i_p_v4_message_field.html usr/share/doc/ola/classola_1_1messaging_1_1_i_p_v4_message_field.png usr/share/doc/ola/classola_1_1messaging_1_1_integer_field_descriptor-members.html usr/share/doc/ola/classola_1_1messaging_1_1_integer_field_descriptor.html usr/share/doc/ola/classola_1_1messaging_1_1_integer_field_descriptor.png usr/share/doc/ola/classola_1_1messaging_1_1_m_a_c_field_descriptor-members.html usr/share/doc/ola/classola_1_1messaging_1_1_m_a_c_field_descriptor.html usr/share/doc/ola/classola_1_1messaging_1_1_m_a_c_field_descriptor.png usr/share/doc/ola/classola_1_1messaging_1_1_m_a_c_message_field-members.html usr/share/doc/ola/classola_1_1messaging_1_1_m_a_c_message_field.html usr/share/doc/ola/classola_1_1messaging_1_1_m_a_c_message_field.png usr/share/doc/ola/classola_1_1messaging_1_1_message_field_interface-members.html usr/share/doc/ola/classola_1_1messaging_1_1_message_field_interface.html usr/share/doc/ola/classola_1_1messaging_1_1_message_field_interface.png usr/share/doc/ola/classola_1_1messaging_1_1_message_printer-members.html usr/share/doc/ola/classola_1_1messaging_1_1_message_printer.html usr/share/doc/ola/classola_1_1messaging_1_1_message_printer.png usr/share/doc/ola/classola_1_1messaging_1_1_message_visitor-members.html usr/share/doc/ola/classola_1_1messaging_1_1_message_visitor.html usr/share/doc/ola/classola_1_1messaging_1_1_message_visitor.png usr/share/doc/ola/classola_1_1messaging_1_1_message-members.html usr/share/doc/ola/classola_1_1messaging_1_1_message.html usr/share/doc/ola/classola_1_1messaging_1_1_schema_printer-members.html usr/share/doc/ola/classola_1_1messaging_1_1_schema_printer.html usr/share/doc/ola/classola_1_1messaging_1_1_schema_printer.png usr/share/doc/ola/classola_1_1messaging_1_1_string_field_descriptor-members.html usr/share/doc/ola/classola_1_1messaging_1_1_string_field_descriptor.html usr/share/doc/ola/classola_1_1messaging_1_1_string_field_descriptor.png usr/share/doc/ola/classola_1_1messaging_1_1_string_message_builder-members.html usr/share/doc/ola/classola_1_1messaging_1_1_string_message_builder.html usr/share/doc/ola/classola_1_1messaging_1_1_string_message_builder.png usr/share/doc/ola/classola_1_1messaging_1_1_string_message_field-members.html usr/share/doc/ola/classola_1_1messaging_1_1_string_message_field.html usr/share/doc/ola/classola_1_1messaging_1_1_string_message_field.png usr/share/doc/ola/classola_1_1messaging_1_1_u_i_d_field_descriptor-members.html usr/share/doc/ola/classola_1_1messaging_1_1_u_i_d_field_descriptor.html usr/share/doc/ola/classola_1_1messaging_1_1_u_i_d_field_descriptor.png usr/share/doc/ola/classola_1_1messaging_1_1_u_i_d_message_field-members.html usr/share/doc/ola/classola_1_1messaging_1_1_u_i_d_message_field.html usr/share/doc/ola/classola_1_1messaging_1_1_u_i_d_message_field.png usr/share/doc/ola/classola_1_1network_1_1_advanced_t_c_p_connector-members.html usr/share/doc/ola/classola_1_1network_1_1_advanced_t_c_p_connector.html usr/share/doc/ola/classola_1_1network_1_1_fake_interface_picker-members.html usr/share/doc/ola/classola_1_1network_1_1_fake_interface_picker.html usr/share/doc/ola/classola_1_1network_1_1_fake_interface_picker.png usr/share/doc/ola/classola_1_1network_1_1_generic_socket_address-members.html usr/share/doc/ola/classola_1_1network_1_1_generic_socket_address.html usr/share/doc/ola/classola_1_1network_1_1_generic_socket_address.png usr/share/doc/ola/classola_1_1network_1_1_generic_t_c_p_socket_factory-members.html usr/share/doc/ola/classola_1_1network_1_1_generic_t_c_p_socket_factory.html usr/share/doc/ola/classola_1_1network_1_1_generic_t_c_p_socket_factory.png usr/share/doc/ola/classola_1_1network_1_1_health_checked_connection-members.html usr/share/doc/ola/classola_1_1network_1_1_health_checked_connection.html usr/share/doc/ola/classola_1_1network_1_1_i_p_v4_address-members.html usr/share/doc/ola/classola_1_1network_1_1_i_p_v4_address.html usr/share/doc/ola/classola_1_1network_1_1_i_p_v4_socket_address-members.html usr/share/doc/ola/classola_1_1network_1_1_i_p_v4_socket_address.html usr/share/doc/ola/classola_1_1network_1_1_i_p_v4_socket_address.png usr/share/doc/ola/classola_1_1network_1_1_interface_builder-members.html usr/share/doc/ola/classola_1_1network_1_1_interface_builder.html usr/share/doc/ola/classola_1_1network_1_1_interface_picker-members.html usr/share/doc/ola/classola_1_1network_1_1_interface_picker.html usr/share/doc/ola/classola_1_1network_1_1_interface_picker.png usr/share/doc/ola/classola_1_1network_1_1_interface-members.html usr/share/doc/ola/classola_1_1network_1_1_interface.html usr/share/doc/ola/classola_1_1network_1_1_m_a_c_address-members.html usr/share/doc/ola/classola_1_1network_1_1_m_a_c_address.html usr/share/doc/ola/classola_1_1network_1_1_pending_t_c_p_connection-members.html usr/share/doc/ola/classola_1_1network_1_1_pending_t_c_p_connection.html usr/share/doc/ola/classola_1_1network_1_1_pending_t_c_p_connection.png usr/share/doc/ola/classola_1_1network_1_1_posix_interface_picker-members.html usr/share/doc/ola/classola_1_1network_1_1_posix_interface_picker.html usr/share/doc/ola/classola_1_1network_1_1_posix_interface_picker.png usr/share/doc/ola/classola_1_1network_1_1_socket_address-members.html usr/share/doc/ola/classola_1_1network_1_1_socket_address.html usr/share/doc/ola/classola_1_1network_1_1_socket_address.png usr/share/doc/ola/classola_1_1network_1_1_socket_closer-members.html usr/share/doc/ola/classola_1_1network_1_1_socket_closer.html usr/share/doc/ola/classola_1_1network_1_1_t_c_p_accepting_socket-members.html usr/share/doc/ola/classola_1_1network_1_1_t_c_p_accepting_socket.html usr/share/doc/ola/classola_1_1network_1_1_t_c_p_accepting_socket.png usr/share/doc/ola/classola_1_1network_1_1_t_c_p_connector-members.html usr/share/doc/ola/classola_1_1network_1_1_t_c_p_connector.html usr/share/doc/ola/classola_1_1network_1_1_t_c_p_socket_factory_interface-members.html usr/share/doc/ola/classola_1_1network_1_1_t_c_p_socket_factory_interface.html usr/share/doc/ola/classola_1_1network_1_1_t_c_p_socket_factory_interface.png usr/share/doc/ola/classola_1_1network_1_1_t_c_p_socket-members.html usr/share/doc/ola/classola_1_1network_1_1_t_c_p_socket.html usr/share/doc/ola/classola_1_1network_1_1_t_c_p_socket.png usr/share/doc/ola/classola_1_1network_1_1_u_d_p_socket_interface-members.html usr/share/doc/ola/classola_1_1network_1_1_u_d_p_socket_interface.html usr/share/doc/ola/classola_1_1network_1_1_u_d_p_socket_interface.png usr/share/doc/ola/classola_1_1network_1_1_u_d_p_socket-members.html usr/share/doc/ola/classola_1_1network_1_1_u_d_p_socket.html usr/share/doc/ola/classola_1_1network_1_1_u_d_p_socket.png usr/share/doc/ola/classola_1_1network_1_1_windows_interface_picker-members.html usr/share/doc/ola/classola_1_1network_1_1_windows_interface_picker.html usr/share/doc/ola/classola_1_1network_1_1_windows_interface_picker.png usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_device.html usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_device.png usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_input_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_input_port.html usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_input_port.png usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_node_impl_1_1_input_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_node_impl_1_1_input_port.html usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_node_impl_r_d_m_wrapper-members.html usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_node_impl_r_d_m_wrapper.html usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_node_impl_r_d_m_wrapper.png usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_node_impl-members.html usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_node_impl.html usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_node_options-members.html usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_node_options.html usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_node-members.html usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_node.html usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_plugin-members.html usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_plugin.html usr/share/doc/ola/classola_1_1plugin_1_1artnet_1_1_art_net_plugin.png usr/share/doc/ola/classola_1_1plugin_1_1dmx4linux_1_1_dmx4_linux_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1dmx4linux_1_1_dmx4_linux_device.html usr/share/doc/ola/classola_1_1plugin_1_1dmx4linux_1_1_dmx4_linux_device.png usr/share/doc/ola/classola_1_1plugin_1_1dmx4linux_1_1_dmx4_linux_input_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1dmx4linux_1_1_dmx4_linux_input_port.html usr/share/doc/ola/classola_1_1plugin_1_1dmx4linux_1_1_dmx4_linux_input_port.png usr/share/doc/ola/classola_1_1plugin_1_1dmx4linux_1_1_dmx4_linux_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1dmx4linux_1_1_dmx4_linux_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1dmx4linux_1_1_dmx4_linux_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1dmx4linux_1_1_dmx4_linux_plugin-members.html usr/share/doc/ola/classola_1_1plugin_1_1dmx4linux_1_1_dmx4_linux_plugin.html usr/share/doc/ola/classola_1_1plugin_1_1dmx4linux_1_1_dmx4_linux_plugin.png usr/share/doc/ola/classola_1_1plugin_1_1dmx4linux_1_1_dmx4_linux_socket-members.html usr/share/doc/ola/classola_1_1plugin_1_1dmx4linux_1_1_dmx4_linux_socket.html usr/share/doc/ola/classola_1_1plugin_1_1dmx4linux_1_1_dmx4_linux_socket.png usr/share/doc/ola/classola_1_1plugin_1_1dummy_1_1_dummy_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1dummy_1_1_dummy_device.html usr/share/doc/ola/classola_1_1plugin_1_1dummy_1_1_dummy_device.png usr/share/doc/ola/classola_1_1plugin_1_1dummy_1_1_dummy_plugin-members.html usr/share/doc/ola/classola_1_1plugin_1_1dummy_1_1_dummy_plugin.html usr/share/doc/ola/classola_1_1plugin_1_1dummy_1_1_dummy_plugin.png usr/share/doc/ola/classola_1_1plugin_1_1dummy_1_1_dummy_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1dummy_1_1_dummy_port.html usr/share/doc/ola/classola_1_1plugin_1_1dummy_1_1_dummy_port.png usr/share/doc/ola/classola_1_1plugin_1_1e131_1_1_e131_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1e131_1_1_e131_device.html usr/share/doc/ola/classola_1_1plugin_1_1e131_1_1_e131_device.png usr/share/doc/ola/classola_1_1plugin_1_1e131_1_1_e131_input_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1e131_1_1_e131_input_port.html usr/share/doc/ola/classola_1_1plugin_1_1e131_1_1_e131_input_port.png usr/share/doc/ola/classola_1_1plugin_1_1e131_1_1_e131_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1e131_1_1_e131_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1e131_1_1_e131_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1e131_1_1_e131_plugin-members.html usr/share/doc/ola/classola_1_1plugin_1_1e131_1_1_e131_plugin.html usr/share/doc/ola/classola_1_1plugin_1_1e131_1_1_e131_plugin.png usr/share/doc/ola/classola_1_1plugin_1_1e131_1_1_e131_port_helper-members.html usr/share/doc/ola/classola_1_1plugin_1_1e131_1_1_e131_port_helper.html usr/share/doc/ola/classola_1_1plugin_1_1espnet_1_1_esp_net_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1espnet_1_1_esp_net_device.html usr/share/doc/ola/classola_1_1plugin_1_1espnet_1_1_esp_net_device.png usr/share/doc/ola/classola_1_1plugin_1_1espnet_1_1_esp_net_input_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1espnet_1_1_esp_net_input_port.html usr/share/doc/ola/classola_1_1plugin_1_1espnet_1_1_esp_net_input_port.png usr/share/doc/ola/classola_1_1plugin_1_1espnet_1_1_esp_net_node-members.html usr/share/doc/ola/classola_1_1plugin_1_1espnet_1_1_esp_net_node.html usr/share/doc/ola/classola_1_1plugin_1_1espnet_1_1_esp_net_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1espnet_1_1_esp_net_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1espnet_1_1_esp_net_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1espnet_1_1_esp_net_plugin-members.html usr/share/doc/ola/classola_1_1plugin_1_1espnet_1_1_esp_net_plugin.html usr/share/doc/ola/classola_1_1plugin_1_1espnet_1_1_esp_net_plugin.png usr/share/doc/ola/classola_1_1plugin_1_1espnet_1_1_esp_net_port_helper-members.html usr/share/doc/ola/classola_1_1plugin_1_1espnet_1_1_esp_net_port_helper.html usr/share/doc/ola/classola_1_1plugin_1_1espnet_1_1_run_length_decoder-members.html usr/share/doc/ola/classola_1_1plugin_1_1espnet_1_1_run_length_decoder.html usr/share/doc/ola/classola_1_1plugin_1_1ftdidmx_1_1_ftdi_dmx_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1ftdidmx_1_1_ftdi_dmx_device.html usr/share/doc/ola/classola_1_1plugin_1_1ftdidmx_1_1_ftdi_dmx_device.png usr/share/doc/ola/classola_1_1plugin_1_1ftdidmx_1_1_ftdi_dmx_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1ftdidmx_1_1_ftdi_dmx_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1ftdidmx_1_1_ftdi_dmx_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1ftdidmx_1_1_ftdi_dmx_plugin-members.html usr/share/doc/ola/classola_1_1plugin_1_1ftdidmx_1_1_ftdi_dmx_plugin.html usr/share/doc/ola/classola_1_1plugin_1_1ftdidmx_1_1_ftdi_dmx_plugin.png usr/share/doc/ola/classola_1_1plugin_1_1ftdidmx_1_1_ftdi_dmx_thread-members.html usr/share/doc/ola/classola_1_1plugin_1_1ftdidmx_1_1_ftdi_dmx_thread.html usr/share/doc/ola/classola_1_1plugin_1_1ftdidmx_1_1_ftdi_dmx_thread.png usr/share/doc/ola/classola_1_1plugin_1_1ftdidmx_1_1_ftdi_interface-members.html usr/share/doc/ola/classola_1_1plugin_1_1ftdidmx_1_1_ftdi_interface.html usr/share/doc/ola/classola_1_1plugin_1_1ftdidmx_1_1_ftdi_widget_info-members.html usr/share/doc/ola/classola_1_1plugin_1_1ftdidmx_1_1_ftdi_widget_info.html usr/share/doc/ola/classola_1_1plugin_1_1ftdidmx_1_1_ftdi_widget-members.html usr/share/doc/ola/classola_1_1plugin_1_1ftdidmx_1_1_ftdi_widget.html usr/share/doc/ola/classola_1_1plugin_1_1gpio_1_1_g_p_i_o_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1gpio_1_1_g_p_i_o_device.html usr/share/doc/ola/classola_1_1plugin_1_1gpio_1_1_g_p_i_o_device.png usr/share/doc/ola/classola_1_1plugin_1_1gpio_1_1_g_p_i_o_driver-members.html usr/share/doc/ola/classola_1_1plugin_1_1gpio_1_1_g_p_i_o_driver.html usr/share/doc/ola/classola_1_1plugin_1_1gpio_1_1_g_p_i_o_driver.png usr/share/doc/ola/classola_1_1plugin_1_1gpio_1_1_g_p_i_o_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1gpio_1_1_g_p_i_o_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1gpio_1_1_g_p_i_o_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1gpio_1_1_g_p_i_o_plugin-members.html usr/share/doc/ola/classola_1_1plugin_1_1gpio_1_1_g_p_i_o_plugin.html usr/share/doc/ola/classola_1_1plugin_1_1gpio_1_1_g_p_i_o_plugin.png usr/share/doc/ola/classola_1_1plugin_1_1karate_1_1_karate_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1karate_1_1_karate_device.html usr/share/doc/ola/classola_1_1plugin_1_1karate_1_1_karate_device.png usr/share/doc/ola/classola_1_1plugin_1_1karate_1_1_karate_light-members.html usr/share/doc/ola/classola_1_1plugin_1_1karate_1_1_karate_light.html usr/share/doc/ola/classola_1_1plugin_1_1karate_1_1_karate_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1karate_1_1_karate_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1karate_1_1_karate_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1karate_1_1_karate_plugin-members.html usr/share/doc/ola/classola_1_1plugin_1_1karate_1_1_karate_plugin.html usr/share/doc/ola/classola_1_1plugin_1_1karate_1_1_karate_plugin.png usr/share/doc/ola/classola_1_1plugin_1_1karate_1_1_karate_thread-members.html usr/share/doc/ola/classola_1_1plugin_1_1karate_1_1_karate_thread.html usr/share/doc/ola/classola_1_1plugin_1_1karate_1_1_karate_thread.png usr/share/doc/ola/classola_1_1plugin_1_1kinet_1_1_ki_net_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1kinet_1_1_ki_net_device.html usr/share/doc/ola/classola_1_1plugin_1_1kinet_1_1_ki_net_device.png usr/share/doc/ola/classola_1_1plugin_1_1kinet_1_1_ki_net_node-members.html usr/share/doc/ola/classola_1_1plugin_1_1kinet_1_1_ki_net_node.html usr/share/doc/ola/classola_1_1plugin_1_1kinet_1_1_ki_net_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1kinet_1_1_ki_net_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1kinet_1_1_ki_net_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1kinet_1_1_ki_net_plugin-members.html usr/share/doc/ola/classola_1_1plugin_1_1kinet_1_1_ki_net_plugin.html usr/share/doc/ola/classola_1_1plugin_1_1kinet_1_1_ki_net_plugin.png usr/share/doc/ola/classola_1_1plugin_1_1milinst_1_1_mil_inst_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1milinst_1_1_mil_inst_device.html usr/share/doc/ola/classola_1_1plugin_1_1milinst_1_1_mil_inst_device.png usr/share/doc/ola/classola_1_1plugin_1_1milinst_1_1_mil_inst_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1milinst_1_1_mil_inst_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1milinst_1_1_mil_inst_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1milinst_1_1_mil_inst_plugin-members.html usr/share/doc/ola/classola_1_1plugin_1_1milinst_1_1_mil_inst_plugin.html usr/share/doc/ola/classola_1_1plugin_1_1milinst_1_1_mil_inst_plugin.png usr/share/doc/ola/classola_1_1plugin_1_1milinst_1_1_mil_inst_widget-members.html usr/share/doc/ola/classola_1_1plugin_1_1milinst_1_1_mil_inst_widget.html usr/share/doc/ola/classola_1_1plugin_1_1milinst_1_1_mil_inst_widget.png usr/share/doc/ola/classola_1_1plugin_1_1milinst_1_1_mil_inst_widget1463-members.html usr/share/doc/ola/classola_1_1plugin_1_1milinst_1_1_mil_inst_widget1463.html usr/share/doc/ola/classola_1_1plugin_1_1milinst_1_1_mil_inst_widget1463.png usr/share/doc/ola/classola_1_1plugin_1_1milinst_1_1_mil_inst_widget1553-members.html usr/share/doc/ola/classola_1_1plugin_1_1milinst_1_1_mil_inst_widget1553.html usr/share/doc/ola/classola_1_1plugin_1_1milinst_1_1_mil_inst_widget1553.png usr/share/doc/ola/classola_1_1plugin_1_1opendmx_1_1_open_dmx_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1opendmx_1_1_open_dmx_device.html usr/share/doc/ola/classola_1_1plugin_1_1opendmx_1_1_open_dmx_device.png usr/share/doc/ola/classola_1_1plugin_1_1opendmx_1_1_open_dmx_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1opendmx_1_1_open_dmx_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1opendmx_1_1_open_dmx_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1opendmx_1_1_open_dmx_plugin-members.html usr/share/doc/ola/classola_1_1plugin_1_1opendmx_1_1_open_dmx_plugin.html usr/share/doc/ola/classola_1_1plugin_1_1opendmx_1_1_open_dmx_plugin.png usr/share/doc/ola/classola_1_1plugin_1_1opendmx_1_1_open_dmx_thread-members.html usr/share/doc/ola/classola_1_1plugin_1_1opendmx_1_1_open_dmx_thread.html usr/share/doc/ola/classola_1_1plugin_1_1opendmx_1_1_open_dmx_thread.png usr/share/doc/ola/classola_1_1plugin_1_1openpixelcontrol_1_1_o_p_c_client_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1openpixelcontrol_1_1_o_p_c_client_device.html usr/share/doc/ola/classola_1_1plugin_1_1openpixelcontrol_1_1_o_p_c_client_device.png usr/share/doc/ola/classola_1_1plugin_1_1openpixelcontrol_1_1_o_p_c_client-members.html usr/share/doc/ola/classola_1_1plugin_1_1openpixelcontrol_1_1_o_p_c_client.html usr/share/doc/ola/classola_1_1plugin_1_1openpixelcontrol_1_1_o_p_c_input_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1openpixelcontrol_1_1_o_p_c_input_port.html usr/share/doc/ola/classola_1_1plugin_1_1openpixelcontrol_1_1_o_p_c_input_port.png usr/share/doc/ola/classola_1_1plugin_1_1openpixelcontrol_1_1_o_p_c_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1openpixelcontrol_1_1_o_p_c_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1openpixelcontrol_1_1_o_p_c_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1openpixelcontrol_1_1_o_p_c_plugin-members.html usr/share/doc/ola/classola_1_1plugin_1_1openpixelcontrol_1_1_o_p_c_plugin.html usr/share/doc/ola/classola_1_1plugin_1_1openpixelcontrol_1_1_o_p_c_plugin.png usr/share/doc/ola/classola_1_1plugin_1_1openpixelcontrol_1_1_o_p_c_server_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1openpixelcontrol_1_1_o_p_c_server_device.html usr/share/doc/ola/classola_1_1plugin_1_1openpixelcontrol_1_1_o_p_c_server_device.png usr/share/doc/ola/classola_1_1plugin_1_1openpixelcontrol_1_1_o_p_c_server-members.html usr/share/doc/ola/classola_1_1plugin_1_1openpixelcontrol_1_1_o_p_c_server.html usr/share/doc/ola/classola_1_1plugin_1_1osc_1_1_o_s_c_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1osc_1_1_o_s_c_device.html usr/share/doc/ola/classola_1_1plugin_1_1osc_1_1_o_s_c_device.png usr/share/doc/ola/classola_1_1plugin_1_1osc_1_1_o_s_c_input_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1osc_1_1_o_s_c_input_port.html usr/share/doc/ola/classola_1_1plugin_1_1osc_1_1_o_s_c_input_port.png usr/share/doc/ola/classola_1_1plugin_1_1osc_1_1_o_s_c_node-members.html usr/share/doc/ola/classola_1_1plugin_1_1osc_1_1_o_s_c_node.html usr/share/doc/ola/classola_1_1plugin_1_1osc_1_1_o_s_c_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1osc_1_1_o_s_c_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1osc_1_1_o_s_c_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1osc_1_1_o_s_c_plugin-members.html usr/share/doc/ola/classola_1_1plugin_1_1osc_1_1_o_s_c_plugin.html usr/share/doc/ola/classola_1_1plugin_1_1osc_1_1_o_s_c_plugin.png usr/share/doc/ola/classola_1_1plugin_1_1pathport_1_1_pathport_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1pathport_1_1_pathport_device.html usr/share/doc/ola/classola_1_1plugin_1_1pathport_1_1_pathport_device.png usr/share/doc/ola/classola_1_1plugin_1_1pathport_1_1_pathport_input_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1pathport_1_1_pathport_input_port.html usr/share/doc/ola/classola_1_1plugin_1_1pathport_1_1_pathport_input_port.png usr/share/doc/ola/classola_1_1plugin_1_1pathport_1_1_pathport_node-members.html usr/share/doc/ola/classola_1_1plugin_1_1pathport_1_1_pathport_node.html usr/share/doc/ola/classola_1_1plugin_1_1pathport_1_1_pathport_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1pathport_1_1_pathport_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1pathport_1_1_pathport_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1pathport_1_1_pathport_plugin-members.html usr/share/doc/ola/classola_1_1plugin_1_1pathport_1_1_pathport_plugin.html usr/share/doc/ola/classola_1_1plugin_1_1pathport_1_1_pathport_plugin.png usr/share/doc/ola/classola_1_1plugin_1_1pathport_1_1_pathport_port_helper-members.html usr/share/doc/ola/classola_1_1plugin_1_1pathport_1_1_pathport_port_helper.html usr/share/doc/ola/classola_1_1plugin_1_1renard_1_1_renard_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1renard_1_1_renard_device.html usr/share/doc/ola/classola_1_1plugin_1_1renard_1_1_renard_device.png usr/share/doc/ola/classola_1_1plugin_1_1renard_1_1_renard_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1renard_1_1_renard_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1renard_1_1_renard_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1renard_1_1_renard_plugin-members.html usr/share/doc/ola/classola_1_1plugin_1_1renard_1_1_renard_plugin.html usr/share/doc/ola/classola_1_1plugin_1_1renard_1_1_renard_plugin.png usr/share/doc/ola/classola_1_1plugin_1_1renard_1_1_renard_widget-members.html usr/share/doc/ola/classola_1_1plugin_1_1renard_1_1_renard_widget.html usr/share/doc/ola/classola_1_1plugin_1_1sandnet_1_1_sand_net_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1sandnet_1_1_sand_net_device.html usr/share/doc/ola/classola_1_1plugin_1_1sandnet_1_1_sand_net_device.png usr/share/doc/ola/classola_1_1plugin_1_1sandnet_1_1_sand_net_input_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1sandnet_1_1_sand_net_input_port.html usr/share/doc/ola/classola_1_1plugin_1_1sandnet_1_1_sand_net_input_port.png usr/share/doc/ola/classola_1_1plugin_1_1sandnet_1_1_sand_net_node-members.html usr/share/doc/ola/classola_1_1plugin_1_1sandnet_1_1_sand_net_node.html usr/share/doc/ola/classola_1_1plugin_1_1sandnet_1_1_sand_net_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1sandnet_1_1_sand_net_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1sandnet_1_1_sand_net_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1sandnet_1_1_sand_net_plugin-members.html usr/share/doc/ola/classola_1_1plugin_1_1sandnet_1_1_sand_net_plugin.html usr/share/doc/ola/classola_1_1plugin_1_1sandnet_1_1_sand_net_plugin.png usr/share/doc/ola/classola_1_1plugin_1_1sandnet_1_1_sand_net_port_helper-members.html usr/share/doc/ola/classola_1_1plugin_1_1sandnet_1_1_sand_net_port_helper.html usr/share/doc/ola/classola_1_1plugin_1_1shownet_1_1_show_net_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1shownet_1_1_show_net_device.html usr/share/doc/ola/classola_1_1plugin_1_1shownet_1_1_show_net_device.png usr/share/doc/ola/classola_1_1plugin_1_1shownet_1_1_show_net_input_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1shownet_1_1_show_net_input_port.html usr/share/doc/ola/classola_1_1plugin_1_1shownet_1_1_show_net_input_port.png usr/share/doc/ola/classola_1_1plugin_1_1shownet_1_1_show_net_node-members.html usr/share/doc/ola/classola_1_1plugin_1_1shownet_1_1_show_net_node.html usr/share/doc/ola/classola_1_1plugin_1_1shownet_1_1_show_net_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1shownet_1_1_show_net_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1shownet_1_1_show_net_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1shownet_1_1_show_net_plugin-members.html usr/share/doc/ola/classola_1_1plugin_1_1shownet_1_1_show_net_plugin.html usr/share/doc/ola/classola_1_1plugin_1_1shownet_1_1_show_net_plugin.png usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_fake_s_p_i_backend-members.html usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_fake_s_p_i_backend.html usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_fake_s_p_i_backend.png usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_fake_s_p_i_writer-members.html usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_fake_s_p_i_writer.html usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_fake_s_p_i_writer.png usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_hardware_backend-members.html usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_hardware_backend.html usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_hardware_backend.png usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_s_p_i_backend_interface-members.html usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_s_p_i_backend_interface.html usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_s_p_i_backend_interface.png usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_s_p_i_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_s_p_i_device.html usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_s_p_i_device.png usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_s_p_i_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_s_p_i_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_s_p_i_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_s_p_i_output-members.html usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_s_p_i_output.html usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_s_p_i_output.png usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_s_p_i_plugin-members.html usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_s_p_i_plugin.html usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_s_p_i_plugin.png usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_s_p_i_writer_interface-members.html usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_s_p_i_writer_interface.html usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_s_p_i_writer_interface.png usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_s_p_i_writer-members.html usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_s_p_i_writer.html usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_s_p_i_writer.png usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_software_backend-members.html usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_software_backend.html usr/share/doc/ola/classola_1_1plugin_1_1spi_1_1_software_backend.png usr/share/doc/ola/classola_1_1plugin_1_1stageprofi_1_1_stage_profi_detector-members.html usr/share/doc/ola/classola_1_1plugin_1_1stageprofi_1_1_stage_profi_detector.html usr/share/doc/ola/classola_1_1plugin_1_1stageprofi_1_1_stage_profi_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1stageprofi_1_1_stage_profi_device.html usr/share/doc/ola/classola_1_1plugin_1_1stageprofi_1_1_stage_profi_device.png usr/share/doc/ola/classola_1_1plugin_1_1stageprofi_1_1_stage_profi_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1stageprofi_1_1_stage_profi_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1stageprofi_1_1_stage_profi_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1stageprofi_1_1_stage_profi_plugin-members.html usr/share/doc/ola/classola_1_1plugin_1_1stageprofi_1_1_stage_profi_plugin.html usr/share/doc/ola/classola_1_1plugin_1_1stageprofi_1_1_stage_profi_plugin.png usr/share/doc/ola/classola_1_1plugin_1_1stageprofi_1_1_stage_profi_widget-members.html usr/share/doc/ola/classola_1_1plugin_1_1stageprofi_1_1_stage_profi_widget.html usr/share/doc/ola/classola_1_1plugin_1_1uartdmx_1_1_uart_dmx_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1uartdmx_1_1_uart_dmx_device.html usr/share/doc/ola/classola_1_1plugin_1_1uartdmx_1_1_uart_dmx_device.png usr/share/doc/ola/classola_1_1plugin_1_1uartdmx_1_1_uart_dmx_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1uartdmx_1_1_uart_dmx_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1uartdmx_1_1_uart_dmx_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1uartdmx_1_1_uart_dmx_plugin-members.html usr/share/doc/ola/classola_1_1plugin_1_1uartdmx_1_1_uart_dmx_plugin.html usr/share/doc/ola/classola_1_1plugin_1_1uartdmx_1_1_uart_dmx_plugin.png usr/share/doc/ola/classola_1_1plugin_1_1uartdmx_1_1_uart_dmx_thread-members.html usr/share/doc/ola/classola_1_1plugin_1_1uartdmx_1_1_uart_dmx_thread.html usr/share/doc/ola/classola_1_1plugin_1_1uartdmx_1_1_uart_dmx_thread.png usr/share/doc/ola/classola_1_1plugin_1_1uartdmx_1_1_uart_widget-members.html usr/share/doc/ola/classola_1_1plugin_1_1uartdmx_1_1_uart_widget.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_anyma_async_usb_sender-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_anyma_async_usb_sender.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_anyma_async_usb_sender.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_anyma_threaded_sender-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_anyma_threaded_sender.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_anyma_threaded_sender.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_anymau_d_m_x_factory-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_anymau_d_m_x_factory.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_anymau_d_m_x_factory.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_anymau_d_m_x-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_anymau_d_m_x.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_anymau_d_m_x.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_async_plugin_impl-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_async_plugin_impl.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_async_plugin_impl.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_async_usb_receiver-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_async_usb_receiver.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_async_usb_receiver.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_async_usb_sender-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_async_usb_sender.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_async_usb_sender.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_async_usb_transceiver_base-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_async_usb_transceiver_base.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_async_usb_transceiver_base.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_asynchronous_anymau_d_m_x-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_asynchronous_anymau_d_m_x.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_asynchronous_anymau_d_m_x.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_asynchronous_d_m_x_c_projects_nodle_u1-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_asynchronous_d_m_x_c_projects_nodle_u1.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_asynchronous_d_m_x_c_projects_nodle_u1.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_asynchronous_eurolite_pro-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_asynchronous_eurolite_pro.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_asynchronous_eurolite_pro.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_asynchronous_scanlime_fadecandy-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_asynchronous_scanlime_fadecandy.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_asynchronous_scanlime_fadecandy.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_asynchronous_sunlite-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_asynchronous_sunlite.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_asynchronous_sunlite.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_asynchronous_velleman_k8062-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_asynchronous_velleman_k8062.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_asynchronous_velleman_k8062.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_base_widget_factory-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_base_widget_factory.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_base_widget_factory.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1_async_usb_receiver-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1_async_usb_receiver.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1_async_usb_receiver.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1_async_usb_sender-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1_async_usb_sender.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1_async_usb_sender.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1_device.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1_device.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1_factory-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1_factory.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1_factory.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1_input_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1_input_port.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1_input_port.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1_threaded_receiver-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1_threaded_receiver.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1_threaded_receiver.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1_threaded_sender-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1_threaded_sender.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1_threaded_sender.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_d_m_x_c_projects_nodle_u1.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_device_state-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_device_state.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_eurolite_pro_async_usb_sender-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_eurolite_pro_async_usb_sender.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_eurolite_pro_async_usb_sender.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_eurolite_pro_factory-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_eurolite_pro_factory.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_eurolite_pro_factory.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_eurolite_pro_threaded_sender-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_eurolite_pro_threaded_sender.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_eurolite_pro_threaded_sender.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_eurolite_pro-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_eurolite_pro.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_eurolite_pro.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_fadecandy_async_usb_sender-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_fadecandy_async_usb_sender.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_fadecandy_async_usb_sender.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_fadecandy_threaded_sender-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_fadecandy_threaded_sender.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_fadecandy_threaded_sender.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_firmware_loader-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_firmware_loader.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_firmware_loader.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_generic_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_generic_device.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_generic_device.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_generic_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_generic_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_generic_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_ja_rule_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_ja_rule_device.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_ja_rule_device.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_ja_rule_factory-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_ja_rule_factory.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_ja_rule_factory.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_ja_rule_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_ja_rule_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_ja_rule_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_plugin_impl_interface-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_plugin_impl_interface.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_plugin_impl_interface.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_scanlime_fadecandy_factory-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_scanlime_fadecandy_factory.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_scanlime_fadecandy_factory.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_scanlime_fadecandy-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_scanlime_fadecandy.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_scanlime_fadecandy.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_simple_widget-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_simple_widget.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_simple_widget.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_sunlite_async_usb_sender-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_sunlite_async_usb_sender.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_sunlite_async_usb_sender.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_sunlite_factory-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_sunlite_factory.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_sunlite_factory.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_sunlite_firmware_loader-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_sunlite_firmware_loader.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_sunlite_firmware_loader.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_sunlite_threaded_sender-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_sunlite_threaded_sender.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_sunlite_threaded_sender.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_sunlite-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_sunlite.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_sunlite.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_sync_plugin_impl-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_sync_plugin_impl.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_sync_plugin_impl.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_synchronous_anymau_d_m_x-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_synchronous_anymau_d_m_x.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_synchronous_anymau_d_m_x.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_synchronous_d_m_x_c_projects_nodle_u1-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_synchronous_d_m_x_c_projects_nodle_u1.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_synchronous_d_m_x_c_projects_nodle_u1.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_synchronous_eurolite_pro-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_synchronous_eurolite_pro.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_synchronous_eurolite_pro.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_synchronous_scanlime_fadecandy-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_synchronous_scanlime_fadecandy.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_synchronous_scanlime_fadecandy.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_synchronous_sunlite-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_synchronous_sunlite.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_synchronous_sunlite.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_synchronous_velleman_k8062-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_synchronous_velleman_k8062.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_synchronous_velleman_k8062.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_syncronized_widget_observer-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_syncronized_widget_observer.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_syncronized_widget_observer.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_threaded_usb_receiver-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_threaded_usb_receiver.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_threaded_usb_receiver.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_threaded_usb_sender-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_threaded_usb_sender.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_threaded_usb_sender.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_usb_dmx_plugin-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_usb_dmx_plugin.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_usb_dmx_plugin.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_velleman_async_usb_sender-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_velleman_async_usb_sender.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_velleman_async_usb_sender.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_velleman_k8062_factory-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_velleman_k8062_factory.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_velleman_k8062_factory.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_velleman_k8062-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_velleman_k8062.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_velleman_k8062.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_velleman_threaded_sender-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_velleman_threaded_sender.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_velleman_threaded_sender.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_widget_factory-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_widget_factory.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_widget_factory.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_widget_interface-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_widget_interface.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_widget_interface.png usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_widget_observer-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_widget_observer.html usr/share/doc/ola/classola_1_1plugin_1_1usbdmx_1_1_widget_observer.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_arduino_r_g_b_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_arduino_r_g_b_device.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_arduino_r_g_b_device.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_arduino_r_g_b_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_arduino_r_g_b_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_arduino_r_g_b_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_arduino_widget_impl-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_arduino_widget_impl.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_arduino_widget_impl.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_arduino_widget-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_arduino_widget.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_arduino_widget.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_base_robe_widget-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_base_robe_widget.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_base_robe_widget.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_base_usb_pro_widget-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_base_usb_pro_widget.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_base_usb_pro_widget.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dispatching_robe_widget-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dispatching_robe_widget.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dispatching_robe_widget.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dispatching_usb_pro_widget-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dispatching_usb_pro_widget.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dispatching_usb_pro_widget.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmx_tri_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmx_tri_device.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmx_tri_device.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmx_tri_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmx_tri_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmx_tri_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmx_tri_widget_impl-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmx_tri_widget_impl.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmx_tri_widget_impl.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmx_tri_widget-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmx_tri_widget.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmx_tri_widget.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmxter_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmxter_device.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmxter_device.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmxter_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmxter_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmxter_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmxter_widget_impl-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmxter_widget_impl.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmxter_widget_impl.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmxter_widget-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmxter_widget.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_dmxter_widget.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_enttec_port_impl-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_enttec_port_impl.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_enttec_port_impl.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_enttec_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_enttec_port.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_enttec_port.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_enttec_usb_pro_widget_impl-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_enttec_usb_pro_widget_impl.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_enttec_usb_pro_widget_impl.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_enttec_usb_pro_widget-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_enttec_usb_pro_widget.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_enttec_usb_pro_widget.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_generic_usb_pro_widget-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_generic_usb_pro_widget.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_generic_usb_pro_widget.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_new_widget_handler-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_new_widget_handler.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_new_widget_handler.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_robe_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_robe_device.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_robe_device.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_robe_input_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_robe_input_port.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_robe_input_port.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_robe_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_robe_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_robe_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_robe_widget_detector-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_robe_widget_detector.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_robe_widget_detector.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_robe_widget_impl-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_robe_widget_impl.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_robe_widget_impl.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_robe_widget_information-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_robe_widget_information.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_robe_widget-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_robe_widget.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_robe_widget.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_serial_widget_interface-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_serial_widget_interface.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_serial_widget_interface.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_ultra_d_m_x_pro_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_ultra_d_m_x_pro_device.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_ultra_d_m_x_pro_device.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_ultra_d_m_x_pro_input_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_ultra_d_m_x_pro_input_port.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_ultra_d_m_x_pro_input_port.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_ultra_d_m_x_pro_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_ultra_d_m_x_pro_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_ultra_d_m_x_pro_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_ultra_d_m_x_pro_widget-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_ultra_d_m_x_pro_widget.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_ultra_d_m_x_pro_widget.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_usb_pro_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_usb_pro_device.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_usb_pro_device.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_usb_pro_input_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_usb_pro_input_port.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_usb_pro_input_port.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_usb_pro_output_port-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_usb_pro_output_port.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_usb_pro_output_port.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_usb_pro_widget_detector-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_usb_pro_widget_detector.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_usb_pro_widget_detector.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_usb_pro_widget_information-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_usb_pro_widget_information.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_usb_serial_device-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_usb_serial_device.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_usb_serial_device.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_usb_serial_plugin-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_usb_serial_plugin.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_usb_serial_plugin.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_widget_detector_interface-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_widget_detector_interface.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_widget_detector_interface.png usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_widget_detector_thread-members.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_widget_detector_thread.html usr/share/doc/ola/classola_1_1plugin_1_1usbpro_1_1_widget_detector_thread.png usr/share/doc/ola/classola_1_1rdm_1_1_ack_timer_responder-members.html usr/share/doc/ola/classola_1_1rdm_1_1_ack_timer_responder.html usr/share/doc/ola/classola_1_1rdm_1_1_ack_timer_responder.png usr/share/doc/ola/classola_1_1rdm_1_1_advanced_dimmer_responder-members.html usr/share/doc/ola/classola_1_1rdm_1_1_advanced_dimmer_responder.html usr/share/doc/ola/classola_1_1rdm_1_1_advanced_dimmer_responder.png usr/share/doc/ola/classola_1_1rdm_1_1_base_r_d_m_request-members.html usr/share/doc/ola/classola_1_1rdm_1_1_base_r_d_m_request.html usr/share/doc/ola/classola_1_1rdm_1_1_base_r_d_m_request.png usr/share/doc/ola/classola_1_1rdm_1_1_base_r_d_m_response-members.html usr/share/doc/ola/classola_1_1rdm_1_1_base_r_d_m_response.html usr/share/doc/ola/classola_1_1rdm_1_1_base_r_d_m_response.png usr/share/doc/ola/classola_1_1rdm_1_1_basic_setting-members.html usr/share/doc/ola/classola_1_1rdm_1_1_basic_setting.html usr/share/doc/ola/classola_1_1rdm_1_1_basic_setting.png usr/share/doc/ola/classola_1_1rdm_1_1_clock_printer-members.html usr/share/doc/ola/classola_1_1rdm_1_1_clock_printer.html usr/share/doc/ola/classola_1_1rdm_1_1_clock_printer.png usr/share/doc/ola/classola_1_1rdm_1_1_command_printer-members.html usr/share/doc/ola/classola_1_1rdm_1_1_command_printer.html usr/share/doc/ola/classola_1_1rdm_1_1_descriptor_consistency_checker-members.html usr/share/doc/ola/classola_1_1rdm_1_1_descriptor_consistency_checker.html usr/share/doc/ola/classola_1_1rdm_1_1_descriptor_consistency_checker.png usr/share/doc/ola/classola_1_1rdm_1_1_device_info_printer-members.html usr/share/doc/ola/classola_1_1rdm_1_1_device_info_printer.html usr/share/doc/ola/classola_1_1rdm_1_1_device_info_printer.png usr/share/doc/ola/classola_1_1rdm_1_1_dimmer_responder-members.html usr/share/doc/ola/classola_1_1rdm_1_1_dimmer_responder.html usr/share/doc/ola/classola_1_1rdm_1_1_dimmer_responder.png usr/share/doc/ola/classola_1_1rdm_1_1_dimmer_root_device-members.html usr/share/doc/ola/classola_1_1rdm_1_1_dimmer_root_device.html usr/share/doc/ola/classola_1_1rdm_1_1_dimmer_root_device.png usr/share/doc/ola/classola_1_1rdm_1_1_dimmer_sub_device-members.html usr/share/doc/ola/classola_1_1rdm_1_1_dimmer_sub_device.html usr/share/doc/ola/classola_1_1rdm_1_1_dimmer_sub_device.png usr/share/doc/ola/classola_1_1rdm_1_1_discoverable_queueing_r_d_m_controller-members.html usr/share/doc/ola/classola_1_1rdm_1_1_discoverable_queueing_r_d_m_controller.html usr/share/doc/ola/classola_1_1rdm_1_1_discoverable_queueing_r_d_m_controller.png usr/share/doc/ola/classola_1_1rdm_1_1_discoverable_r_d_m_controller_adaptor-members.html usr/share/doc/ola/classola_1_1rdm_1_1_discoverable_r_d_m_controller_adaptor.html usr/share/doc/ola/classola_1_1rdm_1_1_discoverable_r_d_m_controller_adaptor.png usr/share/doc/ola/classola_1_1rdm_1_1_discoverable_r_d_m_controller_interface-members.html usr/share/doc/ola/classola_1_1rdm_1_1_discoverable_r_d_m_controller_interface.html usr/share/doc/ola/classola_1_1rdm_1_1_discoverable_r_d_m_controller_interface.png usr/share/doc/ola/classola_1_1rdm_1_1_discovery_agent-members.html usr/share/doc/ola/classola_1_1rdm_1_1_discovery_agent.html usr/share/doc/ola/classola_1_1rdm_1_1_discovery_target_interface-members.html usr/share/doc/ola/classola_1_1rdm_1_1_discovery_target_interface.html usr/share/doc/ola/classola_1_1rdm_1_1_discovery_target_interface.png usr/share/doc/ola/classola_1_1rdm_1_1_dummy_responder-members.html usr/share/doc/ola/classola_1_1rdm_1_1_dummy_responder.html usr/share/doc/ola/classola_1_1rdm_1_1_dummy_responder.png usr/share/doc/ola/classola_1_1rdm_1_1_fake_network_manager-members.html usr/share/doc/ola/classola_1_1rdm_1_1_fake_network_manager.html usr/share/doc/ola/classola_1_1rdm_1_1_fake_network_manager.png usr/share/doc/ola/classola_1_1rdm_1_1_fake_sensor-members.html usr/share/doc/ola/classola_1_1rdm_1_1_fake_sensor.html usr/share/doc/ola/classola_1_1rdm_1_1_fake_sensor.png usr/share/doc/ola/classola_1_1rdm_1_1_frequency_modulation_setting-members.html usr/share/doc/ola/classola_1_1rdm_1_1_frequency_modulation_setting.html usr/share/doc/ola/classola_1_1rdm_1_1_frequency_modulation_setting.png usr/share/doc/ola/classola_1_1rdm_1_1_group_size_calculator-members.html usr/share/doc/ola/classola_1_1rdm_1_1_group_size_calculator.html usr/share/doc/ola/classola_1_1rdm_1_1_group_size_calculator.png usr/share/doc/ola/classola_1_1rdm_1_1_label_printer-members.html usr/share/doc/ola/classola_1_1rdm_1_1_label_printer.html usr/share/doc/ola/classola_1_1rdm_1_1_label_printer.png usr/share/doc/ola/classola_1_1rdm_1_1_language_capability_printer-members.html usr/share/doc/ola/classola_1_1rdm_1_1_language_capability_printer.html usr/share/doc/ola/classola_1_1rdm_1_1_language_capability_printer.png usr/share/doc/ola/classola_1_1rdm_1_1_load_sensor-members.html usr/share/doc/ola/classola_1_1rdm_1_1_load_sensor.html usr/share/doc/ola/classola_1_1rdm_1_1_load_sensor.png usr/share/doc/ola/classola_1_1rdm_1_1_message_deserializer-members.html usr/share/doc/ola/classola_1_1rdm_1_1_message_deserializer.html usr/share/doc/ola/classola_1_1rdm_1_1_message_deserializer.png usr/share/doc/ola/classola_1_1rdm_1_1_message_serializer-members.html usr/share/doc/ola/classola_1_1rdm_1_1_message_serializer.html usr/share/doc/ola/classola_1_1rdm_1_1_message_serializer.png usr/share/doc/ola/classola_1_1rdm_1_1_moving_light_responder-members.html usr/share/doc/ola/classola_1_1rdm_1_1_moving_light_responder.html usr/share/doc/ola/classola_1_1rdm_1_1_moving_light_responder.png usr/share/doc/ola/classola_1_1rdm_1_1_network_manager_interface-members.html usr/share/doc/ola/classola_1_1rdm_1_1_network_manager_interface.html usr/share/doc/ola/classola_1_1rdm_1_1_network_manager_interface.png usr/share/doc/ola/classola_1_1rdm_1_1_network_manager-members.html usr/share/doc/ola/classola_1_1rdm_1_1_network_manager.html usr/share/doc/ola/classola_1_1rdm_1_1_network_manager.png usr/share/doc/ola/classola_1_1rdm_1_1_network_responder-members.html usr/share/doc/ola/classola_1_1rdm_1_1_network_responder.html usr/share/doc/ola/classola_1_1rdm_1_1_network_responder.png usr/share/doc/ola/classola_1_1rdm_1_1_personality_collection-members.html usr/share/doc/ola/classola_1_1rdm_1_1_personality_collection.html usr/share/doc/ola/classola_1_1rdm_1_1_personality_manager-members.html usr/share/doc/ola/classola_1_1rdm_1_1_personality_manager.html usr/share/doc/ola/classola_1_1rdm_1_1_personality-members.html usr/share/doc/ola/classola_1_1rdm_1_1_personality.html usr/share/doc/ola/classola_1_1rdm_1_1_pid_descriptor-members.html usr/share/doc/ola/classola_1_1rdm_1_1_pid_descriptor.html usr/share/doc/ola/classola_1_1rdm_1_1_pid_store_helper-members.html usr/share/doc/ola/classola_1_1rdm_1_1_pid_store_helper.html usr/share/doc/ola/classola_1_1rdm_1_1_pid_store_loader-members.html usr/share/doc/ola/classola_1_1rdm_1_1_pid_store_loader.html usr/share/doc/ola/classola_1_1rdm_1_1_pid_store-members.html usr/share/doc/ola/classola_1_1rdm_1_1_pid_store.html usr/share/doc/ola/classola_1_1rdm_1_1_product_id_printer-members.html usr/share/doc/ola/classola_1_1rdm_1_1_product_id_printer.html usr/share/doc/ola/classola_1_1rdm_1_1_product_id_printer.png usr/share/doc/ola/classola_1_1rdm_1_1_proxied_devices_printer-members.html usr/share/doc/ola/classola_1_1rdm_1_1_proxied_devices_printer.html usr/share/doc/ola/classola_1_1rdm_1_1_proxied_devices_printer.png usr/share/doc/ola/classola_1_1rdm_1_1_queued_message_handler-members.html usr/share/doc/ola/classola_1_1rdm_1_1_queued_message_handler.html usr/share/doc/ola/classola_1_1rdm_1_1_queued_response-members.html usr/share/doc/ola/classola_1_1rdm_1_1_queued_response.html usr/share/doc/ola/classola_1_1rdm_1_1_queueing_r_d_m_controller-members.html usr/share/doc/ola/classola_1_1rdm_1_1_queueing_r_d_m_controller.html usr/share/doc/ola/classola_1_1rdm_1_1_queueing_r_d_m_controller.png usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_a_p_i_impl_interface-members.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_a_p_i_impl_interface.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_a_p_i_impl_interface.png usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_a_p_i-members.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_a_p_i.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_command_serializer-members.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_command_serializer.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_command-members.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_command.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_command.png usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_controller_interface-members.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_controller_interface.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_controller_interface.png usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_discovery_request-members.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_discovery_request.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_discovery_request.png usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_discovery_response-members.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_discovery_response.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_discovery_response.png usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_frame-members.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_frame.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_get_set_request-members.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_get_set_request.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_get_set_request.png usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_get_set_response-members.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_get_set_response.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_get_set_response.png usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_message_printer-members.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_message_printer.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_message_printer.png usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_request-members.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_request.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_request.png usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_response-members.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_response.html usr/share/doc/ola/classola_1_1rdm_1_1_r_d_m_response.png usr/share/doc/ola/classola_1_1rdm_1_1_responder_helper-members.html usr/share/doc/ola/classola_1_1rdm_1_1_responder_helper.html usr/share/doc/ola/classola_1_1rdm_1_1_responder_ops-members.html usr/share/doc/ola/classola_1_1rdm_1_1_responder_ops.html usr/share/doc/ola/classola_1_1rdm_1_1_response_status-members.html usr/share/doc/ola/classola_1_1rdm_1_1_response_status.html usr/share/doc/ola/classola_1_1rdm_1_1_root_pid_store-members.html usr/share/doc/ola/classola_1_1rdm_1_1_root_pid_store.html usr/share/doc/ola/classola_1_1rdm_1_1_sensor_definition_printer-members.html usr/share/doc/ola/classola_1_1rdm_1_1_sensor_definition_printer.html usr/share/doc/ola/classola_1_1rdm_1_1_sensor_definition_printer.png usr/share/doc/ola/classola_1_1rdm_1_1_sensor_responder-members.html usr/share/doc/ola/classola_1_1rdm_1_1_sensor_responder.html usr/share/doc/ola/classola_1_1rdm_1_1_sensor_responder.png usr/share/doc/ola/classola_1_1rdm_1_1_sensor-members.html usr/share/doc/ola/classola_1_1rdm_1_1_sensor.html usr/share/doc/ola/classola_1_1rdm_1_1_sensor.png usr/share/doc/ola/classola_1_1rdm_1_1_setting_collection-members.html usr/share/doc/ola/classola_1_1rdm_1_1_setting_collection.html usr/share/doc/ola/classola_1_1rdm_1_1_setting_interface-members.html usr/share/doc/ola/classola_1_1rdm_1_1_setting_interface.html usr/share/doc/ola/classola_1_1rdm_1_1_setting_interface.png usr/share/doc/ola/classola_1_1rdm_1_1_setting_manager-members.html usr/share/doc/ola/classola_1_1rdm_1_1_setting_manager.html usr/share/doc/ola/classola_1_1rdm_1_1_slot_data_collection-members.html usr/share/doc/ola/classola_1_1rdm_1_1_slot_data_collection.html usr/share/doc/ola/classola_1_1rdm_1_1_slot_data-members.html usr/share/doc/ola/classola_1_1rdm_1_1_slot_data.html usr/share/doc/ola/classola_1_1rdm_1_1_slot_info_printer-members.html usr/share/doc/ola/classola_1_1rdm_1_1_slot_info_printer.html usr/share/doc/ola/classola_1_1rdm_1_1_slot_info_printer.png usr/share/doc/ola/classola_1_1rdm_1_1_static_group_token_calculator-members.html usr/share/doc/ola/classola_1_1rdm_1_1_static_group_token_calculator.html usr/share/doc/ola/classola_1_1rdm_1_1_static_group_token_calculator.png usr/share/doc/ola/classola_1_1rdm_1_1_status_message_printer-members.html usr/share/doc/ola/classola_1_1rdm_1_1_status_message_printer.html usr/share/doc/ola/classola_1_1rdm_1_1_status_message_printer.png usr/share/doc/ola/classola_1_1rdm_1_1_string_message_builder-members.html usr/share/doc/ola/classola_1_1rdm_1_1_string_message_builder.html usr/share/doc/ola/classola_1_1rdm_1_1_string_message_builder.png usr/share/doc/ola/classola_1_1rdm_1_1_sub_device_dispatcher-members.html usr/share/doc/ola/classola_1_1rdm_1_1_sub_device_dispatcher.html usr/share/doc/ola/classola_1_1rdm_1_1_sub_device_dispatcher.png usr/share/doc/ola/classola_1_1rdm_1_1_supported_params_printer-members.html usr/share/doc/ola/classola_1_1rdm_1_1_supported_params_printer.html usr/share/doc/ola/classola_1_1rdm_1_1_supported_params_printer.png usr/share/doc/ola/classola_1_1rdm_1_1_u_i_d_allocator-members.html usr/share/doc/ola/classola_1_1rdm_1_1_u_i_d_allocator.html usr/share/doc/ola/classola_1_1rdm_1_1_u_i_d_set-members.html usr/share/doc/ola/classola_1_1rdm_1_1_u_i_d_set.html usr/share/doc/ola/classola_1_1rdm_1_1_u_i_d-members.html usr/share/doc/ola/classola_1_1rdm_1_1_u_i_d.html usr/share/doc/ola/classola_1_1rdm_1_1_variable_field_size_calculator-members.html usr/share/doc/ola/classola_1_1rdm_1_1_variable_field_size_calculator.html usr/share/doc/ola/classola_1_1rdm_1_1_variable_field_size_calculator.png usr/share/doc/ola/classola_1_1rpc_1_1_outstanding_request-members.html usr/share/doc/ola/classola_1_1rpc_1_1_outstanding_request.html usr/share/doc/ola/classola_1_1rpc_1_1_outstanding_response-members.html usr/share/doc/ola/classola_1_1rpc_1_1_outstanding_response.html usr/share/doc/ola/classola_1_1rpc_1_1_rpc_channel-members.html usr/share/doc/ola/classola_1_1rpc_1_1_rpc_channel.html usr/share/doc/ola/classola_1_1rpc_1_1_rpc_controller-members.html usr/share/doc/ola/classola_1_1rpc_1_1_rpc_controller.html usr/share/doc/ola/classola_1_1rpc_1_1_rpc_header-members.html usr/share/doc/ola/classola_1_1rpc_1_1_rpc_header.html usr/share/doc/ola/classola_1_1rpc_1_1_rpc_peer-members.html usr/share/doc/ola/classola_1_1rpc_1_1_rpc_peer.html usr/share/doc/ola/classola_1_1rpc_1_1_rpc_server-members.html usr/share/doc/ola/classola_1_1rpc_1_1_rpc_server.html usr/share/doc/ola/classola_1_1rpc_1_1_rpc_service-members.html usr/share/doc/ola/classola_1_1rpc_1_1_rpc_service.html usr/share/doc/ola/classola_1_1rpc_1_1_rpc_session_handler_interface-members.html usr/share/doc/ola/classola_1_1rpc_1_1_rpc_session_handler_interface.html usr/share/doc/ola/classola_1_1rpc_1_1_rpc_session_handler_interface.png usr/share/doc/ola/classola_1_1rpc_1_1_rpc_session-members.html usr/share/doc/ola/classola_1_1rpc_1_1_rpc_session.html usr/share/doc/ola/classola_1_1thread_1_1_callback_thread-members.html usr/share/doc/ola/classola_1_1thread_1_1_callback_thread.html usr/share/doc/ola/classola_1_1thread_1_1_callback_thread.png usr/share/doc/ola/classola_1_1thread_1_1_condition_variable-members.html usr/share/doc/ola/classola_1_1thread_1_1_condition_variable.html usr/share/doc/ola/classola_1_1thread_1_1_consumer_thread-members.html usr/share/doc/ola/classola_1_1thread_1_1_consumer_thread.html usr/share/doc/ola/classola_1_1thread_1_1_consumer_thread.png usr/share/doc/ola/classola_1_1thread_1_1_executor_interface-members.html usr/share/doc/ola/classola_1_1thread_1_1_executor_interface.html usr/share/doc/ola/classola_1_1thread_1_1_executor_interface.png usr/share/doc/ola/classola_1_1thread_1_1_executor_thread-members.html usr/share/doc/ola/classola_1_1thread_1_1_executor_thread.html usr/share/doc/ola/classola_1_1thread_1_1_executor_thread.png usr/share/doc/ola/classola_1_1thread_1_1_future_3_01void_01_4-members.html usr/share/doc/ola/classola_1_1thread_1_1_future_3_01void_01_4.html usr/share/doc/ola/classola_1_1thread_1_1_future_impl_3_01void_01_4-members.html usr/share/doc/ola/classola_1_1thread_1_1_future_impl_3_01void_01_4.html usr/share/doc/ola/classola_1_1thread_1_1_future_impl-members.html usr/share/doc/ola/classola_1_1thread_1_1_future_impl.html usr/share/doc/ola/classola_1_1thread_1_1_future-members.html usr/share/doc/ola/classola_1_1thread_1_1_future.html usr/share/doc/ola/classola_1_1thread_1_1_mutex_locker-members.html usr/share/doc/ola/classola_1_1thread_1_1_mutex_locker.html usr/share/doc/ola/classola_1_1thread_1_1_mutex-members.html usr/share/doc/ola/classola_1_1thread_1_1_mutex.html usr/share/doc/ola/classola_1_1thread_1_1_periodic_thread-members.html usr/share/doc/ola/classola_1_1thread_1_1_periodic_thread.html usr/share/doc/ola/classola_1_1thread_1_1_periodic_thread.png usr/share/doc/ola/classola_1_1thread_1_1_scheduler_interface-members.html usr/share/doc/ola/classola_1_1thread_1_1_scheduler_interface.html usr/share/doc/ola/classola_1_1thread_1_1_scheduler_interface.png usr/share/doc/ola/classola_1_1thread_1_1_scheduling_executor_interface-members.html usr/share/doc/ola/classola_1_1thread_1_1_scheduling_executor_interface.html usr/share/doc/ola/classola_1_1thread_1_1_scheduling_executor_interface.png usr/share/doc/ola/classola_1_1thread_1_1_signal_thread-members.html usr/share/doc/ola/classola_1_1thread_1_1_signal_thread.html usr/share/doc/ola/classola_1_1thread_1_1_signal_thread.png usr/share/doc/ola/classola_1_1thread_1_1_thread_pool-members.html usr/share/doc/ola/classola_1_1thread_1_1_thread_pool.html usr/share/doc/ola/classola_1_1thread_1_1_thread-members.html usr/share/doc/ola/classola_1_1thread_1_1_thread.html usr/share/doc/ola/classola_1_1thread_1_1_thread.png usr/share/doc/ola/classola_1_1timecode_1_1_time_code-members.html usr/share/doc/ola/classola_1_1timecode_1_1_time_code.html usr/share/doc/ola/classola_1_1usb_1_1_asyncronous_lib_usb_adaptor-members.html usr/share/doc/ola/classola_1_1usb_1_1_asyncronous_lib_usb_adaptor.html usr/share/doc/ola/classola_1_1usb_1_1_asyncronous_lib_usb_adaptor.png usr/share/doc/ola/classola_1_1usb_1_1_base_lib_usb_adaptor-members.html usr/share/doc/ola/classola_1_1usb_1_1_base_lib_usb_adaptor.html usr/share/doc/ola/classola_1_1usb_1_1_base_lib_usb_adaptor.png usr/share/doc/ola/classola_1_1usb_1_1_hotplug_agent-members.html usr/share/doc/ola/classola_1_1usb_1_1_hotplug_agent.html usr/share/doc/ola/classola_1_1usb_1_1_ja_rule_port_handle_impl-members.html usr/share/doc/ola/classola_1_1usb_1_1_ja_rule_port_handle_impl.html usr/share/doc/ola/classola_1_1usb_1_1_ja_rule_port_handle_impl.png usr/share/doc/ola/classola_1_1usb_1_1_ja_rule_port_handle-members.html usr/share/doc/ola/classola_1_1usb_1_1_ja_rule_port_handle.html usr/share/doc/ola/classola_1_1usb_1_1_ja_rule_port_handle.png usr/share/doc/ola/classola_1_1usb_1_1_ja_rule_widget_port-members.html usr/share/doc/ola/classola_1_1usb_1_1_ja_rule_widget_port.html usr/share/doc/ola/classola_1_1usb_1_1_ja_rule_widget-members.html usr/share/doc/ola/classola_1_1usb_1_1_ja_rule_widget.html usr/share/doc/ola/classola_1_1usb_1_1_lib_usb_adaptor-members.html usr/share/doc/ola/classola_1_1usb_1_1_lib_usb_adaptor.html usr/share/doc/ola/classola_1_1usb_1_1_lib_usb_adaptor.png usr/share/doc/ola/classola_1_1usb_1_1_lib_usb_simple_thread-members.html usr/share/doc/ola/classola_1_1usb_1_1_lib_usb_simple_thread.html usr/share/doc/ola/classola_1_1usb_1_1_lib_usb_simple_thread.png usr/share/doc/ola/classola_1_1usb_1_1_lib_usb_thread-members.html usr/share/doc/ola/classola_1_1usb_1_1_lib_usb_thread.html usr/share/doc/ola/classola_1_1usb_1_1_lib_usb_thread.png usr/share/doc/ola/classola_1_1usb_1_1_syncronous_lib_usb_adaptor-members.html usr/share/doc/ola/classola_1_1usb_1_1_syncronous_lib_usb_adaptor.html usr/share/doc/ola/classola_1_1usb_1_1_syncronous_lib_usb_adaptor.png usr/share/doc/ola/classola_1_1usb_1_1_u_s_b_device_i_d-members.html usr/share/doc/ola/classola_1_1usb_1_1_u_s_b_device_i_d.html usr/share/doc/ola/classola_1_1web_1_1_all_of_validator-members.html usr/share/doc/ola/classola_1_1web_1_1_all_of_validator.html usr/share/doc/ola/classola_1_1web_1_1_all_of_validator.png usr/share/doc/ola/classola_1_1web_1_1_any_of_validator-members.html usr/share/doc/ola/classola_1_1web_1_1_any_of_validator.html usr/share/doc/ola/classola_1_1web_1_1_any_of_validator.png usr/share/doc/ola/classola_1_1web_1_1_array_of_json_values_context-members.html usr/share/doc/ola/classola_1_1web_1_1_array_of_json_values_context.html usr/share/doc/ola/classola_1_1web_1_1_array_of_json_values_context.png usr/share/doc/ola/classola_1_1web_1_1_array_of_schema_context-members.html usr/share/doc/ola/classola_1_1web_1_1_array_of_schema_context.html usr/share/doc/ola/classola_1_1web_1_1_array_of_schema_context.png usr/share/doc/ola/classola_1_1web_1_1_array_of_strings_context-members.html usr/share/doc/ola/classola_1_1web_1_1_array_of_strings_context.html usr/share/doc/ola/classola_1_1web_1_1_array_of_strings_context.png usr/share/doc/ola/classola_1_1web_1_1_array_validator_1_1_additional_items-members.html usr/share/doc/ola/classola_1_1web_1_1_array_validator_1_1_additional_items.html usr/share/doc/ola/classola_1_1web_1_1_array_validator_1_1_items-members.html usr/share/doc/ola/classola_1_1web_1_1_array_validator_1_1_items.html usr/share/doc/ola/classola_1_1web_1_1_array_validator-members.html usr/share/doc/ola/classola_1_1web_1_1_array_validator.html usr/share/doc/ola/classola_1_1web_1_1_array_validator.png usr/share/doc/ola/classola_1_1web_1_1_base_validator-members.html usr/share/doc/ola/classola_1_1web_1_1_base_validator.html usr/share/doc/ola/classola_1_1web_1_1_base_validator.png usr/share/doc/ola/classola_1_1web_1_1_bool_item-members.html usr/share/doc/ola/classola_1_1web_1_1_bool_item.html usr/share/doc/ola/classola_1_1web_1_1_bool_item.png usr/share/doc/ola/classola_1_1web_1_1_bool_validator-members.html usr/share/doc/ola/classola_1_1web_1_1_bool_validator.html usr/share/doc/ola/classola_1_1web_1_1_bool_validator.png usr/share/doc/ola/classola_1_1web_1_1_conjunction_validator-members.html usr/share/doc/ola/classola_1_1web_1_1_conjunction_validator.html usr/share/doc/ola/classola_1_1web_1_1_conjunction_validator.png usr/share/doc/ola/classola_1_1web_1_1_definitions_parse_context-members.html usr/share/doc/ola/classola_1_1web_1_1_definitions_parse_context.html usr/share/doc/ola/classola_1_1web_1_1_definitions_parse_context.png usr/share/doc/ola/classola_1_1web_1_1_dependency_parse_context-members.html usr/share/doc/ola/classola_1_1web_1_1_dependency_parse_context.html usr/share/doc/ola/classola_1_1web_1_1_dependency_parse_context.png usr/share/doc/ola/classola_1_1web_1_1_generic_item-members.html usr/share/doc/ola/classola_1_1web_1_1_generic_item.html usr/share/doc/ola/classola_1_1web_1_1_generic_item.png usr/share/doc/ola/classola_1_1web_1_1_hidden_item-members.html usr/share/doc/ola/classola_1_1web_1_1_hidden_item.html usr/share/doc/ola/classola_1_1web_1_1_hidden_item.png usr/share/doc/ola/classola_1_1web_1_1_integer_validator-members.html usr/share/doc/ola/classola_1_1web_1_1_integer_validator.html usr/share/doc/ola/classola_1_1web_1_1_integer_validator.png usr/share/doc/ola/classola_1_1web_1_1_json_array-members.html usr/share/doc/ola/classola_1_1web_1_1_json_array.html usr/share/doc/ola/classola_1_1web_1_1_json_array.png usr/share/doc/ola/classola_1_1web_1_1_json_bool-members.html usr/share/doc/ola/classola_1_1web_1_1_json_bool.html usr/share/doc/ola/classola_1_1web_1_1_json_bool.png usr/share/doc/ola/classola_1_1web_1_1_json_data-members.html usr/share/doc/ola/classola_1_1web_1_1_json_data.html usr/share/doc/ola/classola_1_1web_1_1_json_double-members.html usr/share/doc/ola/classola_1_1web_1_1_json_double.html usr/share/doc/ola/classola_1_1web_1_1_json_double.png usr/share/doc/ola/classola_1_1web_1_1_json_int-members.html usr/share/doc/ola/classola_1_1web_1_1_json_int.html usr/share/doc/ola/classola_1_1web_1_1_json_int.png usr/share/doc/ola/classola_1_1web_1_1_json_int64-members.html usr/share/doc/ola/classola_1_1web_1_1_json_int64.html usr/share/doc/ola/classola_1_1web_1_1_json_int64.png usr/share/doc/ola/classola_1_1web_1_1_json_leaf_value-members.html usr/share/doc/ola/classola_1_1web_1_1_json_leaf_value.html usr/share/doc/ola/classola_1_1web_1_1_json_leaf_value.png usr/share/doc/ola/classola_1_1web_1_1_json_lexer-members.html usr/share/doc/ola/classola_1_1web_1_1_json_lexer.html usr/share/doc/ola/classola_1_1web_1_1_json_null-members.html usr/share/doc/ola/classola_1_1web_1_1_json_null.html usr/share/doc/ola/classola_1_1web_1_1_json_null.png usr/share/doc/ola/classola_1_1web_1_1_json_number-members.html usr/share/doc/ola/classola_1_1web_1_1_json_number.html usr/share/doc/ola/classola_1_1web_1_1_json_number.png usr/share/doc/ola/classola_1_1web_1_1_json_object_property_visitor-members.html usr/share/doc/ola/classola_1_1web_1_1_json_object_property_visitor.html usr/share/doc/ola/classola_1_1web_1_1_json_object_property_visitor.png usr/share/doc/ola/classola_1_1web_1_1_json_object-members.html usr/share/doc/ola/classola_1_1web_1_1_json_object.html usr/share/doc/ola/classola_1_1web_1_1_json_object.png usr/share/doc/ola/classola_1_1web_1_1_json_parser_interface-members.html usr/share/doc/ola/classola_1_1web_1_1_json_parser_interface.html usr/share/doc/ola/classola_1_1web_1_1_json_parser_interface.png usr/share/doc/ola/classola_1_1web_1_1_json_parser-members.html usr/share/doc/ola/classola_1_1web_1_1_json_parser.html usr/share/doc/ola/classola_1_1web_1_1_json_parser.png usr/share/doc/ola/classola_1_1web_1_1_json_patch_add_op-members.html usr/share/doc/ola/classola_1_1web_1_1_json_patch_add_op.html usr/share/doc/ola/classola_1_1web_1_1_json_patch_add_op.png usr/share/doc/ola/classola_1_1web_1_1_json_patch_copy_op-members.html usr/share/doc/ola/classola_1_1web_1_1_json_patch_copy_op.html usr/share/doc/ola/classola_1_1web_1_1_json_patch_copy_op.png usr/share/doc/ola/classola_1_1web_1_1_json_patch_move_op-members.html usr/share/doc/ola/classola_1_1web_1_1_json_patch_move_op.html usr/share/doc/ola/classola_1_1web_1_1_json_patch_move_op.png usr/share/doc/ola/classola_1_1web_1_1_json_patch_op-members.html usr/share/doc/ola/classola_1_1web_1_1_json_patch_op.html usr/share/doc/ola/classola_1_1web_1_1_json_patch_op.png usr/share/doc/ola/classola_1_1web_1_1_json_patch_parser-members.html usr/share/doc/ola/classola_1_1web_1_1_json_patch_parser.html usr/share/doc/ola/classola_1_1web_1_1_json_patch_parser.png usr/share/doc/ola/classola_1_1web_1_1_json_patch_remove_op-members.html usr/share/doc/ola/classola_1_1web_1_1_json_patch_remove_op.html usr/share/doc/ola/classola_1_1web_1_1_json_patch_remove_op.png usr/share/doc/ola/classola_1_1web_1_1_json_patch_replace_op-members.html usr/share/doc/ola/classola_1_1web_1_1_json_patch_replace_op.html usr/share/doc/ola/classola_1_1web_1_1_json_patch_replace_op.png usr/share/doc/ola/classola_1_1web_1_1_json_patch_set-members.html usr/share/doc/ola/classola_1_1web_1_1_json_patch_set.html usr/share/doc/ola/classola_1_1web_1_1_json_patch_test_op-members.html usr/share/doc/ola/classola_1_1web_1_1_json_patch_test_op.html usr/share/doc/ola/classola_1_1web_1_1_json_patch_test_op.png usr/share/doc/ola/classola_1_1web_1_1_json_pointer_1_1_iterator-members.html usr/share/doc/ola/classola_1_1web_1_1_json_pointer_1_1_iterator.html usr/share/doc/ola/classola_1_1web_1_1_json_pointer-members.html usr/share/doc/ola/classola_1_1web_1_1_json_pointer.html usr/share/doc/ola/classola_1_1web_1_1_json_raw_value-members.html usr/share/doc/ola/classola_1_1web_1_1_json_raw_value.html usr/share/doc/ola/classola_1_1web_1_1_json_raw_value.png usr/share/doc/ola/classola_1_1web_1_1_json_schema-members.html usr/share/doc/ola/classola_1_1web_1_1_json_schema.html usr/share/doc/ola/classola_1_1web_1_1_json_section-members.html usr/share/doc/ola/classola_1_1web_1_1_json_section.html usr/share/doc/ola/classola_1_1web_1_1_json_string-members.html usr/share/doc/ola/classola_1_1web_1_1_json_string.html usr/share/doc/ola/classola_1_1web_1_1_json_string.png usr/share/doc/ola/classola_1_1web_1_1_json_u_int-members.html usr/share/doc/ola/classola_1_1web_1_1_json_u_int.html usr/share/doc/ola/classola_1_1web_1_1_json_u_int.png usr/share/doc/ola/classola_1_1web_1_1_json_u_int64-members.html usr/share/doc/ola/classola_1_1web_1_1_json_u_int64.html usr/share/doc/ola/classola_1_1web_1_1_json_u_int64.png usr/share/doc/ola/classola_1_1web_1_1_json_value_const_visitor_interface-members.html usr/share/doc/ola/classola_1_1web_1_1_json_value_const_visitor_interface.html usr/share/doc/ola/classola_1_1web_1_1_json_value_const_visitor_interface.png usr/share/doc/ola/classola_1_1web_1_1_json_value_context-members.html usr/share/doc/ola/classola_1_1web_1_1_json_value_context.html usr/share/doc/ola/classola_1_1web_1_1_json_value_context.png usr/share/doc/ola/classola_1_1web_1_1_json_value_visitor_interface-members.html usr/share/doc/ola/classola_1_1web_1_1_json_value_visitor_interface.html usr/share/doc/ola/classola_1_1web_1_1_json_value-members.html usr/share/doc/ola/classola_1_1web_1_1_json_value.html usr/share/doc/ola/classola_1_1web_1_1_json_value.png usr/share/doc/ola/classola_1_1web_1_1_json_writer-members.html usr/share/doc/ola/classola_1_1web_1_1_json_writer.html usr/share/doc/ola/classola_1_1web_1_1_json_writer.png usr/share/doc/ola/classola_1_1web_1_1_maximum_constraint-members.html usr/share/doc/ola/classola_1_1web_1_1_maximum_constraint.html usr/share/doc/ola/classola_1_1web_1_1_maximum_constraint.png usr/share/doc/ola/classola_1_1web_1_1_minimum_constraint-members.html usr/share/doc/ola/classola_1_1web_1_1_minimum_constraint.html usr/share/doc/ola/classola_1_1web_1_1_minimum_constraint.png usr/share/doc/ola/classola_1_1web_1_1_multiple_of_constraint-members.html usr/share/doc/ola/classola_1_1web_1_1_multiple_of_constraint.html usr/share/doc/ola/classola_1_1web_1_1_multiple_of_constraint.png usr/share/doc/ola/classola_1_1web_1_1_not_validator-members.html usr/share/doc/ola/classola_1_1web_1_1_not_validator.html usr/share/doc/ola/classola_1_1web_1_1_not_validator.png usr/share/doc/ola/classola_1_1web_1_1_null_validator-members.html usr/share/doc/ola/classola_1_1web_1_1_null_validator.html usr/share/doc/ola/classola_1_1web_1_1_null_validator.png usr/share/doc/ola/classola_1_1web_1_1_number_constraint-members.html usr/share/doc/ola/classola_1_1web_1_1_number_constraint.html usr/share/doc/ola/classola_1_1web_1_1_number_constraint.png usr/share/doc/ola/classola_1_1web_1_1_number_validator-members.html usr/share/doc/ola/classola_1_1web_1_1_number_validator.html usr/share/doc/ola/classola_1_1web_1_1_number_validator.png usr/share/doc/ola/classola_1_1web_1_1_object_parse_context-members.html usr/share/doc/ola/classola_1_1web_1_1_object_parse_context.html usr/share/doc/ola/classola_1_1web_1_1_object_parse_context.png usr/share/doc/ola/classola_1_1web_1_1_object_validator-members.html usr/share/doc/ola/classola_1_1web_1_1_object_validator.html usr/share/doc/ola/classola_1_1web_1_1_object_validator.png usr/share/doc/ola/classola_1_1web_1_1_one_of_validator-members.html usr/share/doc/ola/classola_1_1web_1_1_one_of_validator.html usr/share/doc/ola/classola_1_1web_1_1_one_of_validator.png usr/share/doc/ola/classola_1_1web_1_1_optional_item-members.html usr/share/doc/ola/classola_1_1web_1_1_optional_item.html usr/share/doc/ola/classola_1_1web_1_1_pointer_tracker-members.html usr/share/doc/ola/classola_1_1web_1_1_pointer_tracker.html usr/share/doc/ola/classola_1_1web_1_1_properties_parse_context-members.html usr/share/doc/ola/classola_1_1web_1_1_properties_parse_context.html usr/share/doc/ola/classola_1_1web_1_1_properties_parse_context.png usr/share/doc/ola/classola_1_1web_1_1_reference_validator-members.html usr/share/doc/ola/classola_1_1web_1_1_reference_validator.html usr/share/doc/ola/classola_1_1web_1_1_reference_validator.png usr/share/doc/ola/classola_1_1web_1_1_schema_definitions-members.html usr/share/doc/ola/classola_1_1web_1_1_schema_definitions.html usr/share/doc/ola/classola_1_1web_1_1_schema_error_logger-members.html usr/share/doc/ola/classola_1_1web_1_1_schema_error_logger.html usr/share/doc/ola/classola_1_1web_1_1_schema_parse_context_interface-members.html usr/share/doc/ola/classola_1_1web_1_1_schema_parse_context_interface.html usr/share/doc/ola/classola_1_1web_1_1_schema_parse_context_interface.png usr/share/doc/ola/classola_1_1web_1_1_schema_parse_context-members.html usr/share/doc/ola/classola_1_1web_1_1_schema_parse_context.html usr/share/doc/ola/classola_1_1web_1_1_schema_parse_context.png usr/share/doc/ola/classola_1_1web_1_1_schema_parser-members.html usr/share/doc/ola/classola_1_1web_1_1_schema_parser.html usr/share/doc/ola/classola_1_1web_1_1_schema_parser.png usr/share/doc/ola/classola_1_1web_1_1_select_item-members.html usr/share/doc/ola/classola_1_1web_1_1_select_item.html usr/share/doc/ola/classola_1_1web_1_1_select_item.png usr/share/doc/ola/classola_1_1web_1_1_strict_typed_parse_context-members.html usr/share/doc/ola/classola_1_1web_1_1_strict_typed_parse_context.html usr/share/doc/ola/classola_1_1web_1_1_strict_typed_parse_context.png usr/share/doc/ola/classola_1_1web_1_1_string_item-members.html usr/share/doc/ola/classola_1_1web_1_1_string_item.html usr/share/doc/ola/classola_1_1web_1_1_string_item.png usr/share/doc/ola/classola_1_1web_1_1_string_validator-members.html usr/share/doc/ola/classola_1_1web_1_1_string_validator.html usr/share/doc/ola/classola_1_1web_1_1_string_validator.png usr/share/doc/ola/classola_1_1web_1_1_u_int_item-members.html usr/share/doc/ola/classola_1_1web_1_1_u_int_item.html usr/share/doc/ola/classola_1_1web_1_1_u_int_item.png usr/share/doc/ola/classola_1_1web_1_1_validator_interface-members.html usr/share/doc/ola/classola_1_1web_1_1_validator_interface.html usr/share/doc/ola/classola_1_1web_1_1_validator_interface.png usr/share/doc/ola/classola_1_1web_1_1_wildcard_validator-members.html usr/share/doc/ola/classola_1_1web_1_1_wildcard_validator.html usr/share/doc/ola/classola_1_1web_1_1_wildcard_validator.png usr/share/doc/ola/clipboard.js usr/share/doc/ola/closed.png usr/share/doc/ola/common_2base_2flags_8cpp.html usr/share/doc/ola/cookie.js usr/share/doc/ola/cpp_client_tutorial.html usr/share/doc/ola/deprecated.html usr/share/doc/ola/dir_08785ad73430fd096ea5da0432e2e4e3.html usr/share/doc/ola/dir_08e4b46fc14872df36844a9795ecda14.html usr/share/doc/ola/dir_0c6655e7a474ec7aa2f43d8d56b9e1c1.html usr/share/doc/ola/dir_162fc2eb9ef16c6960c7737bc1e91a28.html usr/share/doc/ola/dir_167851843871420d7c9ea5cdebd51d55.html usr/share/doc/ola/dir_1892e1aab060183111381a7bcde2a819.html usr/share/doc/ola/dir_1c13b4f94418e9934e582309510a891a.html usr/share/doc/ola/dir_1c7886c232665e48257460a74ec07a55.html usr/share/doc/ola/dir_1df192b930117857a18edd286529df41.html usr/share/doc/ola/dir_254eff6bc4b5a1181a7ad6851eec42a4.html usr/share/doc/ola/dir_260a7aa5892db935e7d824c97e14e7eb.html usr/share/doc/ola/dir_284aa68f6d81a4e6cef9d8d6fca4af3e.html usr/share/doc/ola/dir_2866edd110e64b1363c569a0b17c996b.html usr/share/doc/ola/dir_32842f8856dca9e7b0e8cb057618339d.html usr/share/doc/ola/dir_340d62f20824e3550ec606f40df71f12.html usr/share/doc/ola/dir_38c3758e8ad09d4068012afb3d6d3126.html usr/share/doc/ola/dir_38c8d24aef3972a7f87b834274e76e31.html usr/share/doc/ola/dir_3ddbeb472db095daf3cea336e1f6e9b1.html usr/share/doc/ola/dir_3ee133ba66ab7b785382ca6cac72987c.html usr/share/doc/ola/dir_3fd062addedcd823ba5930663e30a5d2.html usr/share/doc/ola/dir_401b11a9dec1ce954096e090ed20508d.html usr/share/doc/ola/dir_41d62b45a391e0e96b4caf0525f026cb.html usr/share/doc/ola/dir_470786045ab0ca895b3021b7148f2431.html usr/share/doc/ola/dir_498779fa77ac0d11ce2a601a6649b140.html usr/share/doc/ola/dir_4e8d938e9ddb5a617c200d5739d1f41a.html usr/share/doc/ola/dir_4f6bd17bd1e142995728dfb7a82882a6.html usr/share/doc/ola/dir_59f367d97d2e55dda6e80ffece5b4626.html usr/share/doc/ola/dir_5b504ff651e529513a8813f4e3693e25.html usr/share/doc/ola/dir_5bcd1e5ebfd9eab500d8992b53cf7543.html usr/share/doc/ola/dir_61cfca526aacc188bb911f184dea3f8f.html usr/share/doc/ola/dir_61d766e603905cdcc8d133c7c0461222.html usr/share/doc/ola/dir_62aaac8d507926c85ed9c9ef56e4aed1.html usr/share/doc/ola/dir_6719ab1f1f7655efc2fa43f7eb574fd1.html usr/share/doc/ola/dir_6c39b1a494b3e3d28e33ba98273beae4.html usr/share/doc/ola/dir_715a421cc07449ca303e60402975974e.html usr/share/doc/ola/dir_73d7c53f2a86ce094384d5856d182e3d.html usr/share/doc/ola/dir_78ddcb33935ce73c4273ef9e38f9f0c6.html usr/share/doc/ola/dir_8089c3642dfc197bbf2d7e733b6aa9e9.html usr/share/doc/ola/dir_85effdddc426fd82e3610be0948fd413.html usr/share/doc/ola/dir_871158268643f089016bbb154542c221.html usr/share/doc/ola/dir_9000519e02cfec1cff7f3d8e2ba6d29a.html usr/share/doc/ola/dir_916b0f6f0314cc69018d2c678f913828.html usr/share/doc/ola/dir_9c6c0d657c56732810528d300a98d679.html usr/share/doc/ola/dir_a3f0f8a1c4bb1c06a1f6c0156c13f4e0.html usr/share/doc/ola/dir_a4e4398572bee03e3a210fa5842d7310.html usr/share/doc/ola/dir_a4ee10e64f839049093220f98040855a.html usr/share/doc/ola/dir_acca747d7776c3cc07760565f2e0db6d.html usr/share/doc/ola/dir_b2e12193885a465a880ea2e186be5ee9.html usr/share/doc/ola/dir_b3171b6adeedaa13829fe8f64f219498.html usr/share/doc/ola/dir_bb579af1db1649da50d6044955f8516b.html usr/share/doc/ola/dir_bda8182adbec3bf4a9ffbcd3b4a59b85.html usr/share/doc/ola/dir_bdd9a5d540de89e9fe90efdfc6973a4f.html usr/share/doc/ola/dir_bddd7d00d5531eccd350ea6a4efc9521.html usr/share/doc/ola/dir_be5dc85d2805cf641e749a7d4159f974.html usr/share/doc/ola/dir_bfec2b4c2c5beb9c9aebd97db978db97.html usr/share/doc/ola/dir_c29c3b9c5f5b2c6befa02684b42ee7bb.html usr/share/doc/ola/dir_c705973b92629e0aed71db59541d5055.html usr/share/doc/ola/dir_cfbb8c7b8583d5e192d875a4126f76cd.html usr/share/doc/ola/dir_d1071760ffdf32e71c8f322607d6e4e7.html usr/share/doc/ola/dir_d10ffe7d596e3a132bbb7d33ed01243e.html usr/share/doc/ola/dir_d44c64559bbebec7f509842c48db8b23.html usr/share/doc/ola/dir_d9c9062f8de668df37005db854e6e005.html usr/share/doc/ola/dir_e48b4a17d37f1148862a6ed3f83bdb40.html usr/share/doc/ola/dir_e78b45bce770c21e34c4c1fae4d70016.html usr/share/doc/ola/dir_e8e97c109e07e46a57d0fa931800b498.html usr/share/doc/ola/dir_ec8ae8d1516543bd71897f4540a8d205.html usr/share/doc/ola/dir_ed8578e6088cfd82f5631f887a2cbd0f.html usr/share/doc/ola/dir_edae7a43c1cbc216994467df74d71daf.html usr/share/doc/ola/dir_eff7fafaa7ac3a3371e3dd04b3b9a5c9.html usr/share/doc/ola/dir_f188d816a99d0011661264b8a978c3ef.html usr/share/doc/ola/dir_f246ae8ed996a0d1d27757e09b74dd2f.html usr/share/doc/ola/dir_f6214907ea702bc6fdd356884abab982.html usr/share/doc/ola/dir_f9778d692912de0f7f53a0d8e46167c5.html usr/share/doc/ola/dir_fa04d573eb6581887fec785e6de61f45.html usr/share/doc/ola/dmx_cpp_client_tutorial.html usr/share/doc/ola/doc.svg usr/share/doc/ola/docd.svg usr/share/doc/ola/doxygen_crawl.html usr/share/doc/ola/doxygen.css usr/share/doc/ola/doxygen.svg usr/share/doc/ola/dynsections.js usr/share/doc/ola/event_driven.html usr/share/doc/ola/files.html usr/share/doc/ola/folderclosed.svg usr/share/doc/ola/folderclosedd.svg usr/share/doc/ola/folderopen.svg usr/share/doc/ola/folderopend.svg usr/share/doc/ola/functions_~.html usr/share/doc/ola/functions_a.html usr/share/doc/ola/functions_b.html usr/share/doc/ola/functions_c.html usr/share/doc/ola/functions_d.html usr/share/doc/ola/functions_e.html usr/share/doc/ola/functions_enum.html usr/share/doc/ola/functions_eval.html usr/share/doc/ola/functions_f.html usr/share/doc/ola/functions_func_~.html usr/share/doc/ola/functions_func_a.html usr/share/doc/ola/functions_func_b.html usr/share/doc/ola/functions_func_c.html usr/share/doc/ola/functions_func_d.html usr/share/doc/ola/functions_func_e.html usr/share/doc/ola/functions_func_f.html usr/share/doc/ola/functions_func_g.html usr/share/doc/ola/functions_func_h.html usr/share/doc/ola/functions_func_i.html usr/share/doc/ola/functions_func_j.html usr/share/doc/ola/functions_func_k.html usr/share/doc/ola/functions_func_l.html usr/share/doc/ola/functions_func_m.html usr/share/doc/ola/functions_func_n.html usr/share/doc/ola/functions_func_o.html usr/share/doc/ola/functions_func_p.html usr/share/doc/ola/functions_func_r.html usr/share/doc/ola/functions_func_s.html usr/share/doc/ola/functions_func_t.html usr/share/doc/ola/functions_func_u.html usr/share/doc/ola/functions_func_v.html usr/share/doc/ola/functions_func_w.html usr/share/doc/ola/functions_func.html usr/share/doc/ola/functions_g.html usr/share/doc/ola/functions_h.html usr/share/doc/ola/functions_i.html usr/share/doc/ola/functions_j.html usr/share/doc/ola/functions_k.html usr/share/doc/ola/functions_l.html usr/share/doc/ola/functions_m.html usr/share/doc/ola/functions_n.html usr/share/doc/ola/functions_o.html usr/share/doc/ola/functions_p.html usr/share/doc/ola/functions_r.html usr/share/doc/ola/functions_rela.html usr/share/doc/ola/functions_s.html usr/share/doc/ola/functions_t.html usr/share/doc/ola/functions_type.html usr/share/doc/ola/functions_u.html usr/share/doc/ola/functions_v.html usr/share/doc/ola/functions_vars.html usr/share/doc/ola/functions_w.html usr/share/doc/ola/functions.html usr/share/doc/ola/globals_defs.html usr/share/doc/ola/globals_type.html usr/share/doc/ola/globals_vars.html usr/share/doc/ola/globals.html usr/share/doc/ola/group__acn.html usr/share/doc/ola/group__callback__helpers.html usr/share/doc/ola/group__callbacks.html usr/share/doc/ola/group__cred.html usr/share/doc/ola/group__flags.html usr/share/doc/ola/group__http__server.html usr/share/doc/ola/group__http.html usr/share/doc/ola/group__init.html usr/share/doc/ola/group__io.html usr/share/doc/ola/group__json.html usr/share/doc/ola/group__logging.html usr/share/doc/ola/group__network.html usr/share/doc/ola/group__olad.html usr/share/doc/ola/group__rdm__api.html usr/share/doc/ola/group__rdm__command.html usr/share/doc/ola/group__rdm__controller.html usr/share/doc/ola/group__rdm__helpers.html usr/share/doc/ola/group__rdm__pids.html usr/share/doc/ola/group__rdm__resp.html usr/share/doc/ola/group__rdm__uid.html usr/share/doc/ola/group__rdm.html usr/share/doc/ola/group__stdin.html usr/share/doc/ola/group__stl.html usr/share/doc/ola/group__sysexit.html usr/share/doc/ola/hierarchy.html usr/share/doc/ola/include_2ola_2client_2_streaming_client_8h_source.html usr/share/doc/ola/include_2ola_2client_2_streaming_client_8h.html usr/share/doc/ola/include_2ola_2e133_2_device_manager_8h_source.html usr/share/doc/ola/include_2ola_2rdm_2_discovery_agent_8h_source.html usr/share/doc/ola/include_2ola_2rdm_2_discovery_agent_8h.html usr/share/doc/ola/index.html usr/share/doc/ola/io_2_descriptor_8h_source.html usr/share/doc/ola/io_2_descriptor_8h.html usr/share/doc/ola/jquery.js usr/share/doc/ola/menu.js usr/share/doc/ola/menudata.js usr/share/doc/ola/messaging_2_descriptor_8h_source.html usr/share/doc/ola/messaging_2_string_message_builder_8h_source.html usr/share/doc/ola/minus.svg usr/share/doc/ola/minusd.svg usr/share/doc/ola/namespacemembers_c.html usr/share/doc/ola/namespacemembers_d.html usr/share/doc/ola/namespacemembers_e.html usr/share/doc/ola/namespacemembers_enum.html usr/share/doc/ola/namespacemembers_eval.html usr/share/doc/ola/namespacemembers_f.html usr/share/doc/ola/namespacemembers_func_c.html usr/share/doc/ola/namespacemembers_func_d.html usr/share/doc/ola/namespacemembers_func_e.html usr/share/doc/ola/namespacemembers_func_f.html usr/share/doc/ola/namespacemembers_func_g.html usr/share/doc/ola/namespacemembers_func_h.html usr/share/doc/ola/namespacemembers_func_i.html usr/share/doc/ola/namespacemembers_func_j.html usr/share/doc/ola/namespacemembers_func_k.html usr/share/doc/ola/namespacemembers_func_l.html usr/share/doc/ola/namespacemembers_func_n.html usr/share/doc/ola/namespacemembers_func_o.html usr/share/doc/ola/namespacemembers_func_p.html usr/share/doc/ola/namespacemembers_func_r.html usr/share/doc/ola/namespacemembers_func_s.html usr/share/doc/ola/namespacemembers_func_t.html usr/share/doc/ola/namespacemembers_func_u.html usr/share/doc/ola/namespacemembers_func.html usr/share/doc/ola/namespacemembers_g.html usr/share/doc/ola/namespacemembers_h.html usr/share/doc/ola/namespacemembers_i.html usr/share/doc/ola/namespacemembers_j.html usr/share/doc/ola/namespacemembers_k.html usr/share/doc/ola/namespacemembers_l.html usr/share/doc/ola/namespacemembers_m.html usr/share/doc/ola/namespacemembers_n.html usr/share/doc/ola/namespacemembers_o.html usr/share/doc/ola/namespacemembers_p.html usr/share/doc/ola/namespacemembers_r.html usr/share/doc/ola/namespacemembers_s.html usr/share/doc/ola/namespacemembers_t.html usr/share/doc/ola/namespacemembers_type.html usr/share/doc/ola/namespacemembers_u.html usr/share/doc/ola/namespacemembers_v.html usr/share/doc/ola/namespacemembers_vars.html usr/share/doc/ola/namespacemembers.html usr/share/doc/ola/namespaceola_1_1acn.html usr/share/doc/ola/namespaceola_1_1client.html usr/share/doc/ola/namespaceola_1_1dmx.html usr/share/doc/ola/namespaceola_1_1e133.html usr/share/doc/ola/namespaceola_1_1http.html usr/share/doc/ola/namespaceola_1_1io.html usr/share/doc/ola/namespaceola_1_1math.html usr/share/doc/ola/namespaceola_1_1messaging.html usr/share/doc/ola/namespaceola_1_1network.html usr/share/doc/ola/namespaceola_1_1plugin_1_1artnet.html usr/share/doc/ola/namespaceola_1_1plugin_1_1dmx4linux.html usr/share/doc/ola/namespaceola_1_1plugin_1_1dummy.html usr/share/doc/ola/namespaceola_1_1plugin_1_1e131.html usr/share/doc/ola/namespaceola_1_1plugin_1_1espnet.html usr/share/doc/ola/namespaceola_1_1plugin_1_1ftdidmx.html usr/share/doc/ola/namespaceola_1_1plugin_1_1gpio.html usr/share/doc/ola/namespaceola_1_1plugin_1_1karate.html usr/share/doc/ola/namespaceola_1_1plugin_1_1kinet.html usr/share/doc/ola/namespaceola_1_1plugin_1_1milinst.html usr/share/doc/ola/namespaceola_1_1plugin_1_1opendmx.html usr/share/doc/ola/namespaceola_1_1plugin_1_1openpixelcontrol.html usr/share/doc/ola/namespaceola_1_1plugin_1_1osc.html usr/share/doc/ola/namespaceola_1_1plugin_1_1pathport.html usr/share/doc/ola/namespaceola_1_1plugin_1_1renard.html usr/share/doc/ola/namespaceola_1_1plugin_1_1sandnet.html usr/share/doc/ola/namespaceola_1_1plugin_1_1shownet.html usr/share/doc/ola/namespaceola_1_1plugin_1_1spi.html usr/share/doc/ola/namespaceola_1_1plugin_1_1stageprofi.html usr/share/doc/ola/namespaceola_1_1plugin_1_1uartdmx.html usr/share/doc/ola/namespaceola_1_1plugin_1_1usbdmx_1_1jarule.html usr/share/doc/ola/namespaceola_1_1plugin_1_1usbdmx.html usr/share/doc/ola/namespaceola_1_1plugin_1_1usbpro.html usr/share/doc/ola/namespaceola_1_1plugin.html usr/share/doc/ola/namespaceola_1_1rdm.html usr/share/doc/ola/namespaceola_1_1rpc.html usr/share/doc/ola/namespaceola_1_1stl.html usr/share/doc/ola/namespaceola_1_1testing.html usr/share/doc/ola/namespaceola_1_1thread.html usr/share/doc/ola/namespaceola_1_1timecode.html usr/share/doc/ola/namespaceola_1_1usb.html usr/share/doc/ola/namespaceola_1_1web.html usr/share/doc/ola/namespaceola.html usr/share/doc/ola/namespaces.html usr/share/doc/ola/nav_f.png usr/share/doc/ola/nav_fd.png usr/share/doc/ola/nav_g.png usr/share/doc/ola/nav_h.png usr/share/doc/ola/nav_hd.png usr/share/doc/ola/navtree.css usr/share/doc/ola/ola_2_streaming_client_8h_source.html usr/share/doc/ola/ola_2_streaming_client_8h.html usr/share/doc/ola/OLA.png usr/share/doc/ola/olad_2_discovery_agent_8h_source.html usr/share/doc/ola/olad_2plugin__api_2_device_manager_8h_source.html usr/share/doc/ola/open.png usr/share/doc/ola/pages.html usr/share/doc/ola/plugin__id_8h_source.html usr/share/doc/ola/plus.svg usr/share/doc/ola/plusd.svg usr/share/doc/ola/rdm_2_string_message_builder_8h_source.html usr/share/doc/ola/rdm_2_string_message_builder_8h.html usr/share/doc/ola/resize.js usr/share/doc/ola/rpc_system.html usr/share/doc/ola/rpc-message.png usr/share/doc/ola/rpc.png usr/share/doc/ola/search/ usr/share/doc/ola/search/all_0.js usr/share/doc/ola/search/all_1.js usr/share/doc/ola/search/all_10.js usr/share/doc/ola/search/all_11.js usr/share/doc/ola/search/all_12.js usr/share/doc/ola/search/all_13.js usr/share/doc/ola/search/all_14.js usr/share/doc/ola/search/all_15.js usr/share/doc/ola/search/all_16.js usr/share/doc/ola/search/all_17.js usr/share/doc/ola/search/all_18.js usr/share/doc/ola/search/all_2.js usr/share/doc/ola/search/all_3.js usr/share/doc/ola/search/all_4.js usr/share/doc/ola/search/all_5.js usr/share/doc/ola/search/all_6.js usr/share/doc/ola/search/all_7.js usr/share/doc/ola/search/all_8.js usr/share/doc/ola/search/all_9.js usr/share/doc/ola/search/all_a.js usr/share/doc/ola/search/all_b.js usr/share/doc/ola/search/all_c.js usr/share/doc/ola/search/all_d.js usr/share/doc/ola/search/all_e.js usr/share/doc/ola/search/all_f.js usr/share/doc/ola/search/classes_0.js usr/share/doc/ola/search/classes_1.js usr/share/doc/ola/search/classes_10.js usr/share/doc/ola/search/classes_11.js usr/share/doc/ola/search/classes_12.js usr/share/doc/ola/search/classes_13.js usr/share/doc/ola/search/classes_14.js usr/share/doc/ola/search/classes_15.js usr/share/doc/ola/search/classes_16.js usr/share/doc/ola/search/classes_17.js usr/share/doc/ola/search/classes_2.js usr/share/doc/ola/search/classes_3.js usr/share/doc/ola/search/classes_4.js usr/share/doc/ola/search/classes_5.js usr/share/doc/ola/search/classes_6.js usr/share/doc/ola/search/classes_7.js usr/share/doc/ola/search/classes_8.js usr/share/doc/ola/search/classes_9.js usr/share/doc/ola/search/classes_a.js usr/share/doc/ola/search/classes_b.js usr/share/doc/ola/search/classes_c.js usr/share/doc/ola/search/classes_d.js usr/share/doc/ola/search/classes_e.js usr/share/doc/ola/search/classes_f.js usr/share/doc/ola/search/close.svg usr/share/doc/ola/search/defines_0.js usr/share/doc/ola/search/defines_1.js usr/share/doc/ola/search/defines_2.js usr/share/doc/ola/search/defines_3.js usr/share/doc/ola/search/defines_4.js usr/share/doc/ola/search/enums_0.js usr/share/doc/ola/search/enums_1.js usr/share/doc/ola/search/enums_2.js usr/share/doc/ola/search/enums_3.js usr/share/doc/ola/search/enums_4.js usr/share/doc/ola/search/enums_5.js usr/share/doc/ola/search/enums_6.js usr/share/doc/ola/search/enums_7.js usr/share/doc/ola/search/enums_8.js usr/share/doc/ola/search/enums_9.js usr/share/doc/ola/search/enumvalues_0.js usr/share/doc/ola/search/enumvalues_1.js usr/share/doc/ola/search/enumvalues_2.js usr/share/doc/ola/search/enumvalues_3.js usr/share/doc/ola/search/enumvalues_4.js usr/share/doc/ola/search/enumvalues_5.js usr/share/doc/ola/search/enumvalues_6.js usr/share/doc/ola/search/enumvalues_7.js usr/share/doc/ola/search/enumvalues_8.js usr/share/doc/ola/search/enumvalues_9.js usr/share/doc/ola/search/enumvalues_a.js usr/share/doc/ola/search/enumvalues_b.js usr/share/doc/ola/search/enumvalues_c.js usr/share/doc/ola/search/files_0.js usr/share/doc/ola/search/files_1.js usr/share/doc/ola/search/files_10.js usr/share/doc/ola/search/files_2.js usr/share/doc/ola/search/files_3.js usr/share/doc/ola/search/files_4.js usr/share/doc/ola/search/files_5.js usr/share/doc/ola/search/files_6.js usr/share/doc/ola/search/files_7.js usr/share/doc/ola/search/files_8.js usr/share/doc/ola/search/files_9.js usr/share/doc/ola/search/files_a.js usr/share/doc/ola/search/files_b.js usr/share/doc/ola/search/files_c.js usr/share/doc/ola/search/files_d.js usr/share/doc/ola/search/files_e.js usr/share/doc/ola/search/files_f.js usr/share/doc/ola/search/functions_0.js usr/share/doc/ola/search/functions_1.js usr/share/doc/ola/search/functions_10.js usr/share/doc/ola/search/functions_11.js usr/share/doc/ola/search/functions_12.js usr/share/doc/ola/search/functions_13.js usr/share/doc/ola/search/functions_14.js usr/share/doc/ola/search/functions_15.js usr/share/doc/ola/search/functions_16.js usr/share/doc/ola/search/functions_17.js usr/share/doc/ola/search/functions_2.js usr/share/doc/ola/search/functions_3.js usr/share/doc/ola/search/functions_4.js usr/share/doc/ola/search/functions_5.js usr/share/doc/ola/search/functions_6.js usr/share/doc/ola/search/functions_7.js usr/share/doc/ola/search/functions_8.js usr/share/doc/ola/search/functions_9.js usr/share/doc/ola/search/functions_a.js usr/share/doc/ola/search/functions_b.js usr/share/doc/ola/search/functions_c.js usr/share/doc/ola/search/functions_d.js usr/share/doc/ola/search/functions_e.js usr/share/doc/ola/search/functions_f.js usr/share/doc/ola/search/groups_0.js usr/share/doc/ola/search/groups_1.js usr/share/doc/ola/search/groups_2.js usr/share/doc/ola/search/groups_3.js usr/share/doc/ola/search/groups_4.js usr/share/doc/ola/search/groups_5.js usr/share/doc/ola/search/groups_6.js usr/share/doc/ola/search/groups_7.js usr/share/doc/ola/search/groups_8.js usr/share/doc/ola/search/groups_9.js usr/share/doc/ola/search/groups_a.js usr/share/doc/ola/search/groups_b.js usr/share/doc/ola/search/groups_c.js usr/share/doc/ola/search/groups_d.js usr/share/doc/ola/search/groups_e.js usr/share/doc/ola/search/groups_f.js usr/share/doc/ola/search/mag_d.svg usr/share/doc/ola/search/mag_sel.svg usr/share/doc/ola/search/mag_seld.svg usr/share/doc/ola/search/mag.svg usr/share/doc/ola/search/namespaces_0.js usr/share/doc/ola/search/pages_0.js usr/share/doc/ola/search/pages_1.js usr/share/doc/ola/search/pages_2.js usr/share/doc/ola/search/pages_3.js usr/share/doc/ola/search/pages_4.js usr/share/doc/ola/search/pages_5.js usr/share/doc/ola/search/pages_6.js usr/share/doc/ola/search/pages_7.js usr/share/doc/ola/search/pages_8.js usr/share/doc/ola/search/pages_9.js usr/share/doc/ola/search/related_0.js usr/share/doc/ola/search/search.css usr/share/doc/ola/search/search.js usr/share/doc/ola/search/searchdata.js usr/share/doc/ola/search/typedefs_0.js usr/share/doc/ola/search/typedefs_1.js usr/share/doc/ola/search/typedefs_2.js usr/share/doc/ola/search/typedefs_3.js usr/share/doc/ola/search/typedefs_4.js usr/share/doc/ola/search/typedefs_5.js usr/share/doc/ola/search/typedefs_6.js usr/share/doc/ola/search/typedefs_7.js usr/share/doc/ola/search/typedefs_8.js usr/share/doc/ola/search/typedefs_9.js usr/share/doc/ola/search/typedefs_a.js usr/share/doc/ola/search/typedefs_b.js usr/share/doc/ola/search/typedefs_c.js usr/share/doc/ola/search/typedefs_d.js usr/share/doc/ola/search/variables_0.js usr/share/doc/ola/search/variables_1.js usr/share/doc/ola/search/variables_10.js usr/share/doc/ola/search/variables_11.js usr/share/doc/ola/search/variables_12.js usr/share/doc/ola/search/variables_2.js usr/share/doc/ola/search/variables_3.js usr/share/doc/ola/search/variables_4.js usr/share/doc/ola/search/variables_5.js usr/share/doc/ola/search/variables_6.js usr/share/doc/ola/search/variables_7.js usr/share/doc/ola/search/variables_8.js usr/share/doc/ola/search/variables_9.js usr/share/doc/ola/search/variables_a.js usr/share/doc/ola/search/variables_b.js usr/share/doc/ola/search/variables_c.js usr/share/doc/ola/search/variables_d.js usr/share/doc/ola/search/variables_e.js usr/share/doc/ola/search/variables_f.js usr/share/doc/ola/splitbar.png usr/share/doc/ola/splitbard.png usr/share/doc/ola/strings_2_utils_8h_source.html usr/share/doc/ola/strings_2_utils_8h.html usr/share/doc/ola/structola_1_1_discovery_agent_interface_1_1_register_options-members.html usr/share/doc/ola/structola_1_1_discovery_agent_interface_1_1_register_options.html usr/share/doc/ola/structola_1_1_entry_group_params-members.html usr/share/doc/ola/structola_1_1_entry_group_params.html usr/share/doc/ola/structola_1_1_group_entry-members.html usr/share/doc/ola/structola_1_1_group_entry.html usr/share/doc/ola/structola_1_1_ola_callback_client_1_1_plugin_state-members.html usr/share/doc/ola/structola_1_1_ola_callback_client_1_1_plugin_state.html usr/share/doc/ola/structola_1_1_ola_server_1_1_options-members.html usr/share/doc/ola/structola_1_1_ola_server_1_1_options.html usr/share/doc/ola/structola_1_1_olad_h_t_t_p_server_1_1_olad_h_t_t_p_server_options-members.html usr/share/doc/ola/structola_1_1_olad_h_t_t_p_server_1_1_olad_h_t_t_p_server_options.html usr/share/doc/ola/structola_1_1_olad_h_t_t_p_server_1_1_olad_h_t_t_p_server_options.png usr/share/doc/ola/structola_1_1_passwd_entry-members.html usr/share/doc/ola/structola_1_1_passwd_entry.html usr/share/doc/ola/structola_1_1_plugin_less_than-members.html usr/share/doc/ola/structola_1_1_plugin_less_than.html usr/share/doc/ola/structola_1_1_plugin_less_than.png usr/share/doc/ola/structola_1_1_service_generator_1_1_options-members.html usr/share/doc/ola/structola_1_1_service_generator_1_1_options.html usr/share/doc/ola/structola_1_1_variable_less_than-members.html usr/share/doc/ola/structola_1_1_variable_less_than.html usr/share/doc/ola/structola_1_1_variable_less_than.png usr/share/doc/ola/structola_1_1acn_1_1_e131_discovery_inflator_1_1_discovery_page-members.html usr/share/doc/ola/structola_1_1acn_1_1_e131_discovery_inflator_1_1_discovery_page.html usr/share/doc/ola/structola_1_1acn_1_1_e131_node_1_1_known_controller-members.html usr/share/doc/ola/structola_1_1acn_1_1_e131_node_1_1_known_controller.html usr/share/doc/ola/structola_1_1acn_1_1_e131_node_1_1_options-members.html usr/share/doc/ola/structola_1_1acn_1_1_e131_node_1_1_options.html usr/share/doc/ola/structola_1_1acn_1_1_e131_node_1_1_options.png usr/share/doc/ola/structola_1_1acn_1_1_e131_rev2_header_1_1e131__rev2__pdu__header-members.html usr/share/doc/ola/structola_1_1acn_1_1_e131_rev2_header_1_1e131__rev2__pdu__header.html usr/share/doc/ola/structola_1_1client_1_1_d_m_x_metadata-members.html usr/share/doc/ola/structola_1_1client_1_1_d_m_x_metadata.html usr/share/doc/ola/structola_1_1client_1_1_plugin_state-members.html usr/share/doc/ola/structola_1_1client_1_1_plugin_state.html usr/share/doc/ola/structola_1_1client_1_1_r_d_m_metadata-members.html usr/share/doc/ola/structola_1_1client_1_1_r_d_m_metadata.html usr/share/doc/ola/structola_1_1client_1_1_send_d_m_x_args-members.html usr/share/doc/ola/structola_1_1client_1_1_send_d_m_x_args.html usr/share/doc/ola/structola_1_1client_1_1_send_r_d_m_args-members.html usr/share/doc/ola/structola_1_1client_1_1_send_r_d_m_args.html usr/share/doc/ola/structola_1_1http_1_1_h_t_t_p_server_1_1_h_t_t_p_server_options-members.html usr/share/doc/ola/structola_1_1http_1_1_h_t_t_p_server_1_1_h_t_t_p_server_options.html usr/share/doc/ola/structola_1_1http_1_1_h_t_t_p_server_1_1_h_t_t_p_server_options.png usr/share/doc/ola/structola_1_1io_1_1_i_o_vec-members.html usr/share/doc/ola/structola_1_1io_1_1_i_o_vec.html usr/share/doc/ola/structola_1_1io_1_1_select_server_1_1_options-members.html usr/share/doc/ola/structola_1_1io_1_1_select_server_1_1_options.html usr/share/doc/ola/structola_1_1io_1_1_unmanaged_file_descriptor__lt-members.html usr/share/doc/ola/structola_1_1io_1_1_unmanaged_file_descriptor__lt.html usr/share/doc/ola/structola_1_1network_1_1_interface_index_ordering-members.html usr/share/doc/ola/structola_1_1network_1_1_interface_index_ordering.html usr/share/doc/ola/structola_1_1network_1_1_interface_picker_1_1_options-members.html usr/share/doc/ola/structola_1_1network_1_1_interface_picker_1_1_options.html usr/share/doc/ola/structola_1_1plugin_1_1artnet_1_1artnet__packet-members.html usr/share/doc/ola/structola_1_1plugin_1_1artnet_1_1artnet__packet.html usr/share/doc/ola/structola_1_1plugin_1_1dummy_1_1_dummy_port_1_1_options-members.html usr/share/doc/ola/structola_1_1plugin_1_1dummy_1_1_dummy_port_1_1_options.html usr/share/doc/ola/structola_1_1plugin_1_1e131_1_1_e131_device_1_1_e131_device_options-members.html usr/share/doc/ola/structola_1_1plugin_1_1e131_1_1_e131_device_1_1_e131_device_options.html usr/share/doc/ola/structola_1_1plugin_1_1e131_1_1_e131_device_1_1_e131_device_options.png usr/share/doc/ola/structola_1_1plugin_1_1espnet_1_1espnet__node__config__s-members.html usr/share/doc/ola/structola_1_1plugin_1_1espnet_1_1espnet__node__config__s.html usr/share/doc/ola/structola_1_1plugin_1_1gpio_1_1_g_p_i_o_driver_1_1_options-members.html usr/share/doc/ola/structola_1_1plugin_1_1gpio_1_1_g_p_i_o_driver_1_1_options.html usr/share/doc/ola/structola_1_1plugin_1_1osc_1_1_o_s_c_device_1_1_port_config-members.html usr/share/doc/ola/structola_1_1plugin_1_1osc_1_1_o_s_c_device_1_1_port_config.html usr/share/doc/ola/structola_1_1plugin_1_1osc_1_1_o_s_c_node_1_1_o_s_c_node_options-members.html usr/share/doc/ola/structola_1_1plugin_1_1osc_1_1_o_s_c_node_1_1_o_s_c_node_options.html usr/share/doc/ola/structola_1_1plugin_1_1osc_1_1_o_s_c_target-members.html usr/share/doc/ola/structola_1_1plugin_1_1osc_1_1_o_s_c_target.html usr/share/doc/ola/structola_1_1plugin_1_1pathport_1_1pathport__pdu__getrep__alv__s-members.html usr/share/doc/ola/structola_1_1plugin_1_1pathport_1_1pathport__pdu__getrep__alv__s.html usr/share/doc/ola/structola_1_1plugin_1_1shownet_1_1shownet__packet-members.html usr/share/doc/ola/structola_1_1plugin_1_1shownet_1_1shownet__packet.html usr/share/doc/ola/structola_1_1plugin_1_1spi_1_1_hardware_backend_1_1_options-members.html usr/share/doc/ola/structola_1_1plugin_1_1spi_1_1_hardware_backend_1_1_options.html usr/share/doc/ola/structola_1_1plugin_1_1spi_1_1_s_p_i_output_1_1_options-members.html usr/share/doc/ola/structola_1_1plugin_1_1spi_1_1_s_p_i_output_1_1_options.html usr/share/doc/ola/structola_1_1plugin_1_1spi_1_1_s_p_i_writer_1_1_options-members.html usr/share/doc/ola/structola_1_1plugin_1_1spi_1_1_s_p_i_writer_1_1_options.html usr/share/doc/ola/structola_1_1plugin_1_1spi_1_1_software_backend_1_1_options-members.html usr/share/doc/ola/structola_1_1plugin_1_1spi_1_1_software_backend_1_1_options.html usr/share/doc/ola/structola_1_1plugin_1_1usbpro_1_1_enttec_usb_pro_widget_1_1_enttec_usb_pro_widget_options-members.html usr/share/doc/ola/structola_1_1plugin_1_1usbpro_1_1_enttec_usb_pro_widget_1_1_enttec_usb_pro_widget_options.html usr/share/doc/ola/structola_1_1plugin_1_1usbpro_1_1_operation_labels-members.html usr/share/doc/ola/structola_1_1plugin_1_1usbpro_1_1_operation_labels.html usr/share/doc/ola/structola_1_1plugin_1_1usbpro_1_1usb__pro__parameters-members.html usr/share/doc/ola/structola_1_1plugin_1_1usbpro_1_1usb__pro__parameters.html usr/share/doc/ola/structola_1_1rdm_1_1_frequency_modulation_setting_1_1_frequency_modulation_arg-members.html usr/share/doc/ola/structola_1_1rdm_1_1_frequency_modulation_setting_1_1_frequency_modulation_arg.html usr/share/doc/ola/structola_1_1rdm_1_1_parameter_descriptor-members.html usr/share/doc/ola/structola_1_1rdm_1_1_parameter_descriptor.html usr/share/doc/ola/structola_1_1rdm_1_1_queueing_r_d_m_controller_1_1outstanding__rdm__request-members.html usr/share/doc/ola/structola_1_1rdm_1_1_queueing_r_d_m_controller_1_1outstanding__rdm__request.html usr/share/doc/ola/structola_1_1rdm_1_1_r_d_m_command_header-members.html usr/share/doc/ola/structola_1_1rdm_1_1_r_d_m_command_header.html usr/share/doc/ola/structola_1_1rdm_1_1_r_d_m_frame_1_1_options-members.html usr/share/doc/ola/structola_1_1rdm_1_1_r_d_m_frame_1_1_options.html usr/share/doc/ola/structola_1_1rdm_1_1_r_d_m_reply-members.html usr/share/doc/ola/structola_1_1rdm_1_1_r_d_m_reply.html usr/share/doc/ola/structola_1_1rdm_1_1_r_d_m_request_1_1_override_options-members.html usr/share/doc/ola/structola_1_1rdm_1_1_r_d_m_request_1_1_override_options.html usr/share/doc/ola/structola_1_1rdm_1_1_responder_ops_1_1_param_handler-members.html usr/share/doc/ola/structola_1_1rdm_1_1_responder_ops_1_1_param_handler.html usr/share/doc/ola/structola_1_1rdm_1_1_sensor_1_1_sensor_options-members.html usr/share/doc/ola/structola_1_1rdm_1_1_sensor_1_1_sensor_options.html usr/share/doc/ola/structola_1_1rdm_1_1_sensor_descriptor-members.html usr/share/doc/ola/structola_1_1rdm_1_1_sensor_descriptor.html usr/share/doc/ola/structola_1_1rdm_1_1_status_message-members.html usr/share/doc/ola/structola_1_1rdm_1_1_status_message.html usr/share/doc/ola/structola_1_1rpc_1_1_rpc_server_1_1_options-members.html usr/share/doc/ola/structola_1_1rpc_1_1_rpc_server_1_1_options.html usr/share/doc/ola/structola_1_1strings_1_1___to_hex-members.html usr/share/doc/ola/structola_1_1strings_1_1___to_hex.html usr/share/doc/ola/structola_1_1thread_1_1_thread_1_1_options-members.html usr/share/doc/ola/structola_1_1thread_1_1_thread_1_1_options.html usr/share/doc/ola/structola_1_1usb_1_1_lib_usb_adaptor_1_1_device_information-members.html usr/share/doc/ola/structola_1_1usb_1_1_lib_usb_adaptor_1_1_device_information.html usr/share/doc/ola/structola_1_1web_1_1_array_validator_1_1_options-members.html usr/share/doc/ola/structola_1_1web_1_1_array_validator_1_1_options.html usr/share/doc/ola/structola_1_1web_1_1_json_double_1_1_double_representation-members.html usr/share/doc/ola/structola_1_1web_1_1_json_double_1_1_double_representation.html usr/share/doc/ola/structola_1_1web_1_1_object_validator_1_1_options-members.html usr/share/doc/ola/structola_1_1web_1_1_object_validator_1_1_options.html usr/share/doc/ola/structola_1_1web_1_1_string_validator_1_1_options-members.html usr/share/doc/ola/structola_1_1web_1_1_string_validator_1_1_options.html usr/share/doc/ola/sync_off.png usr/share/doc/ola/sync_on.png usr/share/doc/ola/tab_a.png usr/share/doc/ola/tab_ad.png usr/share/doc/ola/tab_b.png usr/share/doc/ola/tab_bd.png usr/share/doc/ola/tab_h.png usr/share/doc/ola/tab_hd.png usr/share/doc/ola/tab_s.png usr/share/doc/ola/tab_sd.png usr/share/doc/ola/tabs.css usr/share/doc/ola/thread_2_utils_8h_source.html usr/share/doc/ola/todo.html usr/share/doc/ola/unionola_1_1plugin_1_1espnet_1_1espnet__packet__union__t-members.html usr/share/doc/ola/unionola_1_1plugin_1_1espnet_1_1espnet__packet__union__t.html usr/share/doc/ola/util_2_utils_8h_source.html
aarch64ot-simian11.1.0-1Drum synthesizer inspired by the Simmons SDS-V (legacy version 1)
Close

ot-simian1 1.1.0-1


Architecture:aarch64
Base Package:ot-simian1 (PKGBUILD)
Description:Drum synthesizer inspired by the Simmons SDS-V (legacy version 1)
Upstream URL:https://punklabs.com/ot-simian
Groups:lv2-plugins
pro-audio
vst3-plugins
Download Size:867.9 kB (Download)
Installed Size:5.5 MB
License:GPL-3.0-or-later
Build Date:2024-07-18 15:55 UTC
Dependencies:gcc-libs
glibc
libx11
lv2-host: for LV2 plugin (optional)
vst3-host: for VST3 plugin (optional)
dub (make)
faust (make)
ldc (make)
python (make)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/Punk Labs LLC OneTrick SIMIAN.lv2/ usr/lib/lv2/Punk Labs LLC OneTrick SIMIAN.lv2/manifest.ttl usr/lib/lv2/Punk Labs LLC OneTrick SIMIAN.lv2/PunkLabsLLCOneTrickSIMIAN.so usr/lib/vst3/ usr/lib/vst3/Punk Labs LLC OneTrick SIMIAN.vst3/ usr/lib/vst3/Punk Labs LLC OneTrick SIMIAN.vst3/Contents/ usr/lib/vst3/Punk Labs LLC OneTrick SIMIAN.vst3/Contents/aarch64-linux/ usr/lib/vst3/Punk Labs LLC OneTrick SIMIAN.vst3/Contents/aarch64-linux/Punk Labs LLC OneTrick SIMIAN.so
x86_64ot-simian11.1.0-1Drum synthesizer inspired by the Simmons SDS-V (legacy version 1)
Close

ot-simian1 1.1.0-1


Architecture:x86_64
Base Package:ot-simian1 (PKGBUILD)
Description:Drum synthesizer inspired by the Simmons SDS-V (legacy version 1)
Upstream URL:https://punklabs.com/ot-simian
Groups:lv2-plugins
pro-audio
vst3-plugins
Download Size:943.0 kB (Download)
Installed Size:5.2 MB
License:GPL-3.0-or-later
Build Date:2024-07-18 15:55 UTC
Dependencies:gcc-libs
glibc
libx11
lv2-host: for LV2 plugin (optional)
vst3-host: for VST3 plugin (optional)
dub (make)
faust (make)
ldc (make)
python (make)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/Punk Labs LLC OneTrick SIMIAN.lv2/ usr/lib/lv2/Punk Labs LLC OneTrick SIMIAN.lv2/manifest.ttl usr/lib/lv2/Punk Labs LLC OneTrick SIMIAN.lv2/PunkLabsLLCOneTrickSIMIAN.so usr/lib/vst3/ usr/lib/vst3/Punk Labs LLC OneTrick SIMIAN.vst3/ usr/lib/vst3/Punk Labs LLC OneTrick SIMIAN.vst3/Contents/ usr/lib/vst3/Punk Labs LLC OneTrick SIMIAN.vst3/Contents/x86_64-linux/ usr/lib/vst3/Punk Labs LLC OneTrick SIMIAN.vst3/Contents/x86_64-linux/Punk Labs LLC OneTrick SIMIAN.so
aarch64paulxstretch1.6.0-4Extreme time stretching tool (standalone, VST3 and CLAP plugin)
Close

paulxstretch 1.6.0-4


Architecture:aarch64
Base Package:paulxstretch (PKGBUILD)
Description:Extreme time stretching tool (standalone, VST3 and CLAP plugin)
Upstream URL:https://sonosaurus.com/paulxstretch/
Groups:clap-plugins
pro-audio
vst3-plugins
Download Size:2.4 MB (Download)
Installed Size:14.8 MB
License:GPL-3.0-only
Build Date:2024-02-18 22:08 UTC
Dependencies:gcc-libs
libfftw3f.so=3-64
libfreetype.so=6-64
alsa-lib: for standalone ALSA support (optional)
clap-host: for CLAP plugin (optional)
jack: for standalone JACK support (optional)
vst3-host: for VST3 plugin (optional)
alsa-lib (make)
cmake (make)
fftw (make)
freetype2 (make)
jack (make)
libx11 (make)
libxcursor (make)
libxinerama (make)
libxrandr (make)
Package Contents
usr/ usr/bin/ usr/bin/paulxstretch usr/lib/ usr/lib/clap/ usr/lib/clap/PaulXStretch.clap usr/lib/vst3/ usr/lib/vst3/PaulXStretch.vst3/ usr/lib/vst3/PaulXStretch.vst3/Contents/ usr/lib/vst3/PaulXStretch.vst3/Contents/aarch64-linux/ usr/lib/vst3/PaulXStretch.vst3/Contents/aarch64-linux/PaulXStretch.so usr/share/ usr/share/applications/ usr/share/applications/paulxstretch.desktop usr/share/licenses/ usr/share/licenses/paulxstretch/ usr/share/licenses/paulxstretch/LICENSE usr/share/pixmaps/ usr/share/pixmaps/paulxstretch.png
x86_64paulxstretch1.6.0-4Extreme time stretching tool (standalone, VST3 and CLAP plugin)
Close

paulxstretch 1.6.0-4


Architecture:x86_64
Base Package:paulxstretch (PKGBUILD)
Description:Extreme time stretching tool (standalone, VST3 and CLAP plugin)
Upstream URL:https://sonosaurus.com/paulxstretch/
Groups:clap-plugins
pro-audio
vst3-plugins
Download Size:2.8 MB (Download)
Installed Size:15.4 MB
License:GPL-3.0-only
Build Date:2024-02-18 22:08 UTC
Dependencies:gcc-libs
libfftw3f.so=3-64
libfreetype.so=6-64
alsa-lib: for standalone ALSA support (optional)
clap-host: for CLAP plugin (optional)
jack: for standalone JACK support (optional)
vst3-host: for VST3 plugin (optional)
alsa-lib (make)
cmake (make)
fftw (make)
freetype2 (make)
jack (make)
libx11 (make)
libxcursor (make)
libxinerama (make)
libxrandr (make)
Package Contents
usr/ usr/bin/ usr/bin/paulxstretch usr/lib/ usr/lib/clap/ usr/lib/clap/PaulXStretch.clap usr/lib/vst3/ usr/lib/vst3/PaulXStretch.vst3/ usr/lib/vst3/PaulXStretch.vst3/Contents/ usr/lib/vst3/PaulXStretch.vst3/Contents/x86_64-linux/ usr/lib/vst3/PaulXStretch.vst3/Contents/x86_64-linux/PaulXStretch.so usr/share/ usr/share/applications/ usr/share/applications/paulxstretch.desktop usr/share/licenses/ usr/share/licenses/paulxstretch/ usr/share/licenses/paulxstretch/LICENSE usr/share/pixmaps/ usr/share/pixmaps/paulxstretch.png
aarch64protobuf-2121.12-3Protocol Buffers (legacy)
Close

protobuf-21 21.12-3


Architecture:aarch64
Base Package:protobuf-21 (PKGBUILD)
Description:Protocol Buffers (legacy)
Upstream URL:https://developers.google.com/protocol-buffers/
Provides:libprotobuf-lite.so=32-64
libprotobuf.so=32-64
libprotoc.so=32-64
Download Size:1.9 MB (Download)
Installed Size:10.9 MB
License:BSD-3-Clause
Build Date:2024-07-10 20:46 UTC
Dependencies:abseil-cpp11
gcc-libs
glibc
zlib
cmake (make)
python-build (make)
python-installer (make)
python-setuptools (make)
python-wheel (make)
Package Contents
usr/ usr/bin/ usr/bin/protoc-21 usr/bin/protoc-3.21.12.0 usr/include/ usr/include/protobuf-21/ usr/include/protobuf-21/google/ usr/include/protobuf-21/google/protobuf/ usr/include/protobuf-21/google/protobuf/any.h usr/include/protobuf-21/google/protobuf/any.pb.h usr/include/protobuf-21/google/protobuf/any.proto usr/include/protobuf-21/google/protobuf/api.pb.h usr/include/protobuf-21/google/protobuf/api.proto usr/include/protobuf-21/google/protobuf/arena_impl.h usr/include/protobuf-21/google/protobuf/arena.h usr/include/protobuf-21/google/protobuf/arenastring.h usr/include/protobuf-21/google/protobuf/arenaz_sampler.h usr/include/protobuf-21/google/protobuf/compiler/ usr/include/protobuf-21/google/protobuf/compiler/code_generator.h usr/include/protobuf-21/google/protobuf/compiler/command_line_interface.h usr/include/protobuf-21/google/protobuf/compiler/cpp/ usr/include/protobuf-21/google/protobuf/compiler/cpp/cpp_generator.h usr/include/protobuf-21/google/protobuf/compiler/cpp/file.h usr/include/protobuf-21/google/protobuf/compiler/cpp/generator.h usr/include/protobuf-21/google/protobuf/compiler/cpp/helpers.h usr/include/protobuf-21/google/protobuf/compiler/cpp/names.h usr/include/protobuf-21/google/protobuf/compiler/csharp/ usr/include/protobuf-21/google/protobuf/compiler/csharp/csharp_doc_comment.h usr/include/protobuf-21/google/protobuf/compiler/csharp/csharp_generator.h usr/include/protobuf-21/google/protobuf/compiler/csharp/csharp_names.h usr/include/protobuf-21/google/protobuf/compiler/csharp/csharp_options.h usr/include/protobuf-21/google/protobuf/compiler/importer.h usr/include/protobuf-21/google/protobuf/compiler/java/ usr/include/protobuf-21/google/protobuf/compiler/java/generator.h usr/include/protobuf-21/google/protobuf/compiler/java/java_generator.h usr/include/protobuf-21/google/protobuf/compiler/java/kotlin_generator.h usr/include/protobuf-21/google/protobuf/compiler/java/names.h usr/include/protobuf-21/google/protobuf/compiler/objectivec/ usr/include/protobuf-21/google/protobuf/compiler/objectivec/objectivec_generator.h usr/include/protobuf-21/google/protobuf/compiler/objectivec/objectivec_helpers.h usr/include/protobuf-21/google/protobuf/compiler/parser.h usr/include/protobuf-21/google/protobuf/compiler/php/ usr/include/protobuf-21/google/protobuf/compiler/php/php_generator.h usr/include/protobuf-21/google/protobuf/compiler/plugin.h usr/include/protobuf-21/google/protobuf/compiler/plugin.pb.h usr/include/protobuf-21/google/protobuf/compiler/plugin.proto usr/include/protobuf-21/google/protobuf/compiler/python/ usr/include/protobuf-21/google/protobuf/compiler/python/generator.h usr/include/protobuf-21/google/protobuf/compiler/python/pyi_generator.h usr/include/protobuf-21/google/protobuf/compiler/python/python_generator.h usr/include/protobuf-21/google/protobuf/compiler/ruby/ usr/include/protobuf-21/google/protobuf/compiler/ruby/ruby_generator.h usr/include/protobuf-21/google/protobuf/descriptor_database.h usr/include/protobuf-21/google/protobuf/descriptor.h usr/include/protobuf-21/google/protobuf/descriptor.pb.h usr/include/protobuf-21/google/protobuf/descriptor.proto usr/include/protobuf-21/google/protobuf/duration.pb.h usr/include/protobuf-21/google/protobuf/duration.proto usr/include/protobuf-21/google/protobuf/dynamic_message.h usr/include/protobuf-21/google/protobuf/empty.pb.h usr/include/protobuf-21/google/protobuf/empty.proto usr/include/protobuf-21/google/protobuf/endian.h usr/include/protobuf-21/google/protobuf/explicitly_constructed.h usr/include/protobuf-21/google/protobuf/extension_set_inl.h usr/include/protobuf-21/google/protobuf/extension_set.h usr/include/protobuf-21/google/protobuf/field_access_listener.h usr/include/protobuf-21/google/protobuf/field_mask.pb.h usr/include/protobuf-21/google/protobuf/field_mask.proto usr/include/protobuf-21/google/protobuf/generated_enum_reflection.h usr/include/protobuf-21/google/protobuf/generated_enum_util.h usr/include/protobuf-21/google/protobuf/generated_message_bases.h usr/include/protobuf-21/google/protobuf/generated_message_reflection.h usr/include/protobuf-21/google/protobuf/generated_message_tctable_decl.h usr/include/protobuf-21/google/protobuf/generated_message_tctable_impl.h usr/include/protobuf-21/google/protobuf/generated_message_util.h usr/include/protobuf-21/google/protobuf/has_bits.h usr/include/protobuf-21/google/protobuf/implicit_weak_message.h usr/include/protobuf-21/google/protobuf/inlined_string_field.h usr/include/protobuf-21/google/protobuf/io/ usr/include/protobuf-21/google/protobuf/io/coded_stream.h usr/include/protobuf-21/google/protobuf/io/gzip_stream.h usr/include/protobuf-21/google/protobuf/io/io_win32.h usr/include/protobuf-21/google/protobuf/io/printer.h usr/include/protobuf-21/google/protobuf/io/strtod.h usr/include/protobuf-21/google/protobuf/io/tokenizer.h usr/include/protobuf-21/google/protobuf/io/zero_copy_stream_impl_lite.h usr/include/protobuf-21/google/protobuf/io/zero_copy_stream_impl.h usr/include/protobuf-21/google/protobuf/io/zero_copy_stream.h usr/include/protobuf-21/google/protobuf/map_entry_lite.h usr/include/protobuf-21/google/protobuf/map_entry.h usr/include/protobuf-21/google/protobuf/map_field_inl.h usr/include/protobuf-21/google/protobuf/map_field_lite.h usr/include/protobuf-21/google/protobuf/map_field.h usr/include/protobuf-21/google/protobuf/map_type_handler.h usr/include/protobuf-21/google/protobuf/map.h usr/include/protobuf-21/google/protobuf/message_lite.h usr/include/protobuf-21/google/protobuf/message.h usr/include/protobuf-21/google/protobuf/metadata_lite.h usr/include/protobuf-21/google/protobuf/metadata.h usr/include/protobuf-21/google/protobuf/parse_context.h usr/include/protobuf-21/google/protobuf/port_def.inc usr/include/protobuf-21/google/protobuf/port_undef.inc usr/include/protobuf-21/google/protobuf/port.h usr/include/protobuf-21/google/protobuf/reflection_ops.h usr/include/protobuf-21/google/protobuf/reflection.h usr/include/protobuf-21/google/protobuf/repeated_field.h usr/include/protobuf-21/google/protobuf/repeated_ptr_field.h usr/include/protobuf-21/google/protobuf/service.h usr/include/protobuf-21/google/protobuf/source_context.pb.h usr/include/protobuf-21/google/protobuf/source_context.proto usr/include/protobuf-21/google/protobuf/struct.pb.h usr/include/protobuf-21/google/protobuf/struct.proto usr/include/protobuf-21/google/protobuf/stubs/ usr/include/protobuf-21/google/protobuf/stubs/bytestream.h usr/include/protobuf-21/google/protobuf/stubs/callback.h usr/include/protobuf-21/google/protobuf/stubs/casts.h usr/include/protobuf-21/google/protobuf/stubs/common.h usr/include/protobuf-21/google/protobuf/stubs/hash.h usr/include/protobuf-21/google/protobuf/stubs/logging.h usr/include/protobuf-21/google/protobuf/stubs/macros.h usr/include/protobuf-21/google/protobuf/stubs/map_util.h usr/include/protobuf-21/google/protobuf/stubs/mutex.h usr/include/protobuf-21/google/protobuf/stubs/once.h usr/include/protobuf-21/google/protobuf/stubs/platform_macros.h usr/include/protobuf-21/google/protobuf/stubs/port.h usr/include/protobuf-21/google/protobuf/stubs/status.h usr/include/protobuf-21/google/protobuf/stubs/stl_util.h usr/include/protobuf-21/google/protobuf/stubs/stringpiece.h usr/include/protobuf-21/google/protobuf/stubs/strutil.h usr/include/protobuf-21/google/protobuf/stubs/template_util.h usr/include/protobuf-21/google/protobuf/text_format.h usr/include/protobuf-21/google/protobuf/timestamp.pb.h usr/include/protobuf-21/google/protobuf/timestamp.proto usr/include/protobuf-21/google/protobuf/type.pb.h usr/include/protobuf-21/google/protobuf/type.proto usr/include/protobuf-21/google/protobuf/unknown_field_set.h usr/include/protobuf-21/google/protobuf/util/ usr/include/protobuf-21/google/protobuf/util/delimited_message_util.h usr/include/protobuf-21/google/protobuf/util/field_comparator.h usr/include/protobuf-21/google/protobuf/util/field_mask_util.h usr/include/protobuf-21/google/protobuf/util/json_util.h usr/include/protobuf-21/google/protobuf/util/message_differencer.h usr/include/protobuf-21/google/protobuf/util/time_util.h usr/include/protobuf-21/google/protobuf/util/type_resolver_util.h usr/include/protobuf-21/google/protobuf/util/type_resolver.h usr/include/protobuf-21/google/protobuf/wire_format_lite.h usr/include/protobuf-21/google/protobuf/wire_format.h usr/include/protobuf-21/google/protobuf/wrappers.pb.h usr/include/protobuf-21/google/protobuf/wrappers.proto usr/lib/ usr/lib/libprotobuf-lite.so.3.21.12.0 usr/lib/libprotobuf-lite.so.32 usr/lib/libprotobuf.so.3.21.12.0 usr/lib/libprotobuf.so.32 usr/lib/libprotoc.so.3.21.12.0 usr/lib/libprotoc.so.32 usr/lib/protobuf-21/ usr/lib/protobuf-21/cmake/ usr/lib/protobuf-21/cmake/protobuf/ usr/lib/protobuf-21/cmake/protobuf/protobuf-config-version.cmake usr/lib/protobuf-21/cmake/protobuf/protobuf-config.cmake usr/lib/protobuf-21/cmake/protobuf/protobuf-module.cmake usr/lib/protobuf-21/cmake/protobuf/protobuf-options.cmake usr/lib/protobuf-21/cmake/protobuf/protobuf-targets-none.cmake usr/lib/protobuf-21/cmake/protobuf/protobuf-targets.cmake usr/lib/protobuf-21/libprotobuf-lite.so usr/lib/protobuf-21/libprotobuf-lite.so.3.21.12.0 usr/lib/protobuf-21/libprotobuf-lite.so.32 usr/lib/protobuf-21/libprotobuf.so usr/lib/protobuf-21/libprotobuf.so.3.21.12.0 usr/lib/protobuf-21/libprotobuf.so.32 usr/lib/protobuf-21/libprotoc.so usr/lib/protobuf-21/libprotoc.so.3.21.12.0 usr/lib/protobuf-21/libprotoc.so.32 usr/lib/protobuf-21/pkgconfig/ usr/lib/protobuf-21/pkgconfig/protobuf-lite.pc usr/lib/protobuf-21/pkgconfig/protobuf.pc usr/share/ usr/share/licenses/ usr/share/licenses/protobuf-21/ usr/share/licenses/protobuf-21/LICENSE
x86_64protobuf-2121.12-3Protocol Buffers (legacy)
Close

protobuf-21 21.12-3


Architecture:x86_64
Base Package:protobuf-21 (PKGBUILD)
Description:Protocol Buffers (legacy)
Upstream URL:https://developers.google.com/protocol-buffers/
Provides:libprotobuf-lite.so=32-64
libprotobuf.so=32-64
libprotoc.so=32-64
Download Size:2.1 MB (Download)
Installed Size:9.3 MB
License:BSD-3-Clause
Build Date:2024-07-10 20:46 UTC
Dependencies:abseil-cpp11
gcc-libs
glibc
zlib
cmake (make)
python-build (make)
python-installer (make)
python-setuptools (make)
python-wheel (make)
Package Contents
usr/ usr/bin/ usr/bin/protoc-21 usr/bin/protoc-3.21.12.0 usr/include/ usr/include/protobuf-21/ usr/include/protobuf-21/google/ usr/include/protobuf-21/google/protobuf/ usr/include/protobuf-21/google/protobuf/any.h usr/include/protobuf-21/google/protobuf/any.pb.h usr/include/protobuf-21/google/protobuf/any.proto usr/include/protobuf-21/google/protobuf/api.pb.h usr/include/protobuf-21/google/protobuf/api.proto usr/include/protobuf-21/google/protobuf/arena_impl.h usr/include/protobuf-21/google/protobuf/arena.h usr/include/protobuf-21/google/protobuf/arenastring.h usr/include/protobuf-21/google/protobuf/arenaz_sampler.h usr/include/protobuf-21/google/protobuf/compiler/ usr/include/protobuf-21/google/protobuf/compiler/code_generator.h usr/include/protobuf-21/google/protobuf/compiler/command_line_interface.h usr/include/protobuf-21/google/protobuf/compiler/cpp/ usr/include/protobuf-21/google/protobuf/compiler/cpp/cpp_generator.h usr/include/protobuf-21/google/protobuf/compiler/cpp/file.h usr/include/protobuf-21/google/protobuf/compiler/cpp/generator.h usr/include/protobuf-21/google/protobuf/compiler/cpp/helpers.h usr/include/protobuf-21/google/protobuf/compiler/cpp/names.h usr/include/protobuf-21/google/protobuf/compiler/csharp/ usr/include/protobuf-21/google/protobuf/compiler/csharp/csharp_doc_comment.h usr/include/protobuf-21/google/protobuf/compiler/csharp/csharp_generator.h usr/include/protobuf-21/google/protobuf/compiler/csharp/csharp_names.h usr/include/protobuf-21/google/protobuf/compiler/csharp/csharp_options.h usr/include/protobuf-21/google/protobuf/compiler/importer.h usr/include/protobuf-21/google/protobuf/compiler/java/ usr/include/protobuf-21/google/protobuf/compiler/java/generator.h usr/include/protobuf-21/google/protobuf/compiler/java/java_generator.h usr/include/protobuf-21/google/protobuf/compiler/java/kotlin_generator.h usr/include/protobuf-21/google/protobuf/compiler/java/names.h usr/include/protobuf-21/google/protobuf/compiler/objectivec/ usr/include/protobuf-21/google/protobuf/compiler/objectivec/objectivec_generator.h usr/include/protobuf-21/google/protobuf/compiler/objectivec/objectivec_helpers.h usr/include/protobuf-21/google/protobuf/compiler/parser.h usr/include/protobuf-21/google/protobuf/compiler/php/ usr/include/protobuf-21/google/protobuf/compiler/php/php_generator.h usr/include/protobuf-21/google/protobuf/compiler/plugin.h usr/include/protobuf-21/google/protobuf/compiler/plugin.pb.h usr/include/protobuf-21/google/protobuf/compiler/plugin.proto usr/include/protobuf-21/google/protobuf/compiler/python/ usr/include/protobuf-21/google/protobuf/compiler/python/generator.h usr/include/protobuf-21/google/protobuf/compiler/python/pyi_generator.h usr/include/protobuf-21/google/protobuf/compiler/python/python_generator.h usr/include/protobuf-21/google/protobuf/compiler/ruby/ usr/include/protobuf-21/google/protobuf/compiler/ruby/ruby_generator.h usr/include/protobuf-21/google/protobuf/descriptor_database.h usr/include/protobuf-21/google/protobuf/descriptor.h usr/include/protobuf-21/google/protobuf/descriptor.pb.h usr/include/protobuf-21/google/protobuf/descriptor.proto usr/include/protobuf-21/google/protobuf/duration.pb.h usr/include/protobuf-21/google/protobuf/duration.proto usr/include/protobuf-21/google/protobuf/dynamic_message.h usr/include/protobuf-21/google/protobuf/empty.pb.h usr/include/protobuf-21/google/protobuf/empty.proto usr/include/protobuf-21/google/protobuf/endian.h usr/include/protobuf-21/google/protobuf/explicitly_constructed.h usr/include/protobuf-21/google/protobuf/extension_set_inl.h usr/include/protobuf-21/google/protobuf/extension_set.h usr/include/protobuf-21/google/protobuf/field_access_listener.h usr/include/protobuf-21/google/protobuf/field_mask.pb.h usr/include/protobuf-21/google/protobuf/field_mask.proto usr/include/protobuf-21/google/protobuf/generated_enum_reflection.h usr/include/protobuf-21/google/protobuf/generated_enum_util.h usr/include/protobuf-21/google/protobuf/generated_message_bases.h usr/include/protobuf-21/google/protobuf/generated_message_reflection.h usr/include/protobuf-21/google/protobuf/generated_message_tctable_decl.h usr/include/protobuf-21/google/protobuf/generated_message_tctable_impl.h usr/include/protobuf-21/google/protobuf/generated_message_util.h usr/include/protobuf-21/google/protobuf/has_bits.h usr/include/protobuf-21/google/protobuf/implicit_weak_message.h usr/include/protobuf-21/google/protobuf/inlined_string_field.h usr/include/protobuf-21/google/protobuf/io/ usr/include/protobuf-21/google/protobuf/io/coded_stream.h usr/include/protobuf-21/google/protobuf/io/gzip_stream.h usr/include/protobuf-21/google/protobuf/io/io_win32.h usr/include/protobuf-21/google/protobuf/io/printer.h usr/include/protobuf-21/google/protobuf/io/strtod.h usr/include/protobuf-21/google/protobuf/io/tokenizer.h usr/include/protobuf-21/google/protobuf/io/zero_copy_stream_impl_lite.h usr/include/protobuf-21/google/protobuf/io/zero_copy_stream_impl.h usr/include/protobuf-21/google/protobuf/io/zero_copy_stream.h usr/include/protobuf-21/google/protobuf/map_entry_lite.h usr/include/protobuf-21/google/protobuf/map_entry.h usr/include/protobuf-21/google/protobuf/map_field_inl.h usr/include/protobuf-21/google/protobuf/map_field_lite.h usr/include/protobuf-21/google/protobuf/map_field.h usr/include/protobuf-21/google/protobuf/map_type_handler.h usr/include/protobuf-21/google/protobuf/map.h usr/include/protobuf-21/google/protobuf/message_lite.h usr/include/protobuf-21/google/protobuf/message.h usr/include/protobuf-21/google/protobuf/metadata_lite.h usr/include/protobuf-21/google/protobuf/metadata.h usr/include/protobuf-21/google/protobuf/parse_context.h usr/include/protobuf-21/google/protobuf/port_def.inc usr/include/protobuf-21/google/protobuf/port_undef.inc usr/include/protobuf-21/google/protobuf/port.h usr/include/protobuf-21/google/protobuf/reflection_ops.h usr/include/protobuf-21/google/protobuf/reflection.h usr/include/protobuf-21/google/protobuf/repeated_field.h usr/include/protobuf-21/google/protobuf/repeated_ptr_field.h usr/include/protobuf-21/google/protobuf/service.h usr/include/protobuf-21/google/protobuf/source_context.pb.h usr/include/protobuf-21/google/protobuf/source_context.proto usr/include/protobuf-21/google/protobuf/struct.pb.h usr/include/protobuf-21/google/protobuf/struct.proto usr/include/protobuf-21/google/protobuf/stubs/ usr/include/protobuf-21/google/protobuf/stubs/bytestream.h usr/include/protobuf-21/google/protobuf/stubs/callback.h usr/include/protobuf-21/google/protobuf/stubs/casts.h usr/include/protobuf-21/google/protobuf/stubs/common.h usr/include/protobuf-21/google/protobuf/stubs/hash.h usr/include/protobuf-21/google/protobuf/stubs/logging.h usr/include/protobuf-21/google/protobuf/stubs/macros.h usr/include/protobuf-21/google/protobuf/stubs/map_util.h usr/include/protobuf-21/google/protobuf/stubs/mutex.h usr/include/protobuf-21/google/protobuf/stubs/once.h usr/include/protobuf-21/google/protobuf/stubs/platform_macros.h usr/include/protobuf-21/google/protobuf/stubs/port.h usr/include/protobuf-21/google/protobuf/stubs/status.h usr/include/protobuf-21/google/protobuf/stubs/stl_util.h usr/include/protobuf-21/google/protobuf/stubs/stringpiece.h usr/include/protobuf-21/google/protobuf/stubs/strutil.h usr/include/protobuf-21/google/protobuf/stubs/template_util.h usr/include/protobuf-21/google/protobuf/text_format.h usr/include/protobuf-21/google/protobuf/timestamp.pb.h usr/include/protobuf-21/google/protobuf/timestamp.proto usr/include/protobuf-21/google/protobuf/type.pb.h usr/include/protobuf-21/google/protobuf/type.proto usr/include/protobuf-21/google/protobuf/unknown_field_set.h usr/include/protobuf-21/google/protobuf/util/ usr/include/protobuf-21/google/protobuf/util/delimited_message_util.h usr/include/protobuf-21/google/protobuf/util/field_comparator.h usr/include/protobuf-21/google/protobuf/util/field_mask_util.h usr/include/protobuf-21/google/protobuf/util/json_util.h usr/include/protobuf-21/google/protobuf/util/message_differencer.h usr/include/protobuf-21/google/protobuf/util/time_util.h usr/include/protobuf-21/google/protobuf/util/type_resolver_util.h usr/include/protobuf-21/google/protobuf/util/type_resolver.h usr/include/protobuf-21/google/protobuf/wire_format_lite.h usr/include/protobuf-21/google/protobuf/wire_format.h usr/include/protobuf-21/google/protobuf/wrappers.pb.h usr/include/protobuf-21/google/protobuf/wrappers.proto usr/lib/ usr/lib/libprotobuf-lite.so.3.21.12.0 usr/lib/libprotobuf-lite.so.32 usr/lib/libprotobuf.so.3.21.12.0 usr/lib/libprotobuf.so.32 usr/lib/libprotoc.so.3.21.12.0 usr/lib/libprotoc.so.32 usr/lib/protobuf-21/ usr/lib/protobuf-21/cmake/ usr/lib/protobuf-21/cmake/protobuf/ usr/lib/protobuf-21/cmake/protobuf/protobuf-config-version.cmake usr/lib/protobuf-21/cmake/protobuf/protobuf-config.cmake usr/lib/protobuf-21/cmake/protobuf/protobuf-module.cmake usr/lib/protobuf-21/cmake/protobuf/protobuf-options.cmake usr/lib/protobuf-21/cmake/protobuf/protobuf-targets-none.cmake usr/lib/protobuf-21/cmake/protobuf/protobuf-targets.cmake usr/lib/protobuf-21/libprotobuf-lite.so usr/lib/protobuf-21/libprotobuf-lite.so.3.21.12.0 usr/lib/protobuf-21/libprotobuf-lite.so.32 usr/lib/protobuf-21/libprotobuf.so usr/lib/protobuf-21/libprotobuf.so.3.21.12.0 usr/lib/protobuf-21/libprotobuf.so.32 usr/lib/protobuf-21/libprotoc.so usr/lib/protobuf-21/libprotoc.so.3.21.12.0 usr/lib/protobuf-21/libprotoc.so.32 usr/lib/protobuf-21/pkgconfig/ usr/lib/protobuf-21/pkgconfig/protobuf-lite.pc usr/lib/protobuf-21/pkgconfig/protobuf.pc usr/share/ usr/share/licenses/ usr/share/licenses/protobuf-21/ usr/share/licenses/protobuf-21/LICENSE
anypython-miditk-smf0.3.1-2A Python toolkit for working with Standard MIDI files
Close

python-miditk-smf 0.3.1-2


Architecture:any
Base Package:python-miditk-smf (PKGBUILD)
Description:A Python toolkit for working with Standard MIDI files
Upstream URL:https://github.com/SpotlightKid/miditk-smf
Groups:pro-audio
Download Size:55.9 kB (Download)
Installed Size:284.9 kB
License:MIT
Build Date:2024-05-01 23:02 UTC
Dependencies:python
python-build (make)
python-hatchling (make)
python-installer (make)
python-pytest (check)
Package Contents
usr/ usr/bin/ usr/bin/miditk-mid2syx usr/lib/ usr/lib/python3.12/ usr/lib/python3.12/site-packages/ usr/lib/python3.12/site-packages/miditk_smf-0.3.1.dist-info/ usr/lib/python3.12/site-packages/miditk_smf-0.3.1.dist-info/entry_points.txt usr/lib/python3.12/site-packages/miditk_smf-0.3.1.dist-info/licenses/ usr/lib/python3.12/site-packages/miditk_smf-0.3.1.dist-info/licenses/LICENSE.md usr/lib/python3.12/site-packages/miditk_smf-0.3.1.dist-info/METADATA usr/lib/python3.12/site-packages/miditk_smf-0.3.1.dist-info/RECORD usr/lib/python3.12/site-packages/miditk_smf-0.3.1.dist-info/WHEEL usr/lib/python3.12/site-packages/miditk/ usr/lib/python3.12/site-packages/miditk/__init__.py usr/lib/python3.12/site-packages/miditk/__pycache__/ usr/lib/python3.12/site-packages/miditk/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/miditk/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/miditk/common/ usr/lib/python3.12/site-packages/miditk/common/__init__.py usr/lib/python3.12/site-packages/miditk/common/__pycache__/ usr/lib/python3.12/site-packages/miditk/common/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/miditk/common/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/miditk/common/__pycache__/constants.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/miditk/common/__pycache__/constants.cpython-312.pyc usr/lib/python3.12/site-packages/miditk/common/constants.py usr/lib/python3.12/site-packages/miditk/smf/ usr/lib/python3.12/site-packages/miditk/smf/__init__.py usr/lib/python3.12/site-packages/miditk/smf/__pycache__/ usr/lib/python3.12/site-packages/miditk/smf/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/miditk/smf/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/miditk/smf/__pycache__/api.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/miditk/smf/__pycache__/api.cpython-312.pyc usr/lib/python3.12/site-packages/miditk/smf/__pycache__/converters.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/miditk/smf/__pycache__/converters.cpython-312.pyc usr/lib/python3.12/site-packages/miditk/smf/__pycache__/parser.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/miditk/smf/__pycache__/parser.cpython-312.pyc usr/lib/python3.12/site-packages/miditk/smf/__pycache__/reader.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/miditk/smf/__pycache__/reader.cpython-312.pyc usr/lib/python3.12/site-packages/miditk/smf/__pycache__/sequence.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/miditk/smf/__pycache__/sequence.cpython-312.pyc usr/lib/python3.12/site-packages/miditk/smf/__pycache__/version.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/miditk/smf/__pycache__/version.cpython-312.pyc usr/lib/python3.12/site-packages/miditk/smf/__pycache__/writer.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/miditk/smf/__pycache__/writer.cpython-312.pyc usr/lib/python3.12/site-packages/miditk/smf/api.py usr/lib/python3.12/site-packages/miditk/smf/converters.py usr/lib/python3.12/site-packages/miditk/smf/parser.py usr/lib/python3.12/site-packages/miditk/smf/reader.py usr/lib/python3.12/site-packages/miditk/smf/scripts/ usr/lib/python3.12/site-packages/miditk/smf/scripts/__init__.py usr/lib/python3.12/site-packages/miditk/smf/scripts/__pycache__/ usr/lib/python3.12/site-packages/miditk/smf/scripts/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/miditk/smf/scripts/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/miditk/smf/scripts/__pycache__/mid2syx.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/miditk/smf/scripts/__pycache__/mid2syx.cpython-312.pyc usr/lib/python3.12/site-packages/miditk/smf/scripts/mid2syx.py usr/lib/python3.12/site-packages/miditk/smf/sequence.py usr/lib/python3.12/site-packages/miditk/smf/version.py usr/lib/python3.12/site-packages/miditk/smf/writer.py usr/share/ usr/share/licenses/ usr/share/licenses/python-miditk-smf/ usr/share/licenses/python-miditk-smf/LICENSE.md
anypython-mido1.3.2-2A Python library for working with MIDI messages and ports
Close

python-mido 1.3.2-2


Architecture:any
Base Package:python-mido (PKGBUILD)
Description:A Python library for working with MIDI messages and ports
Upstream URL:https://mido.readthedocs.io/
Download Size:105.9 kB (Download)
Installed Size:527.4 kB
License:MIT
Build Date:2024-05-01 23:02 UTC
Dependencies:python-importlib-metadata
python-packaging
python-rtmidi
portmidi: for PortMidi support (optional)
python-pygame: for PortMidi support (optional)
python-build (make)
python-installer (make)
python-setuptools (make)
python-setuptools-scm (make)
python-wheel (make)
Package Contents
usr/ usr/bin/ usr/bin/mido-connect usr/bin/mido-play usr/bin/mido-ports usr/bin/mido-serve usr/lib/ usr/lib/python3.12/ usr/lib/python3.12/site-packages/ usr/lib/python3.12/site-packages/mido-1.3.2.dist-info/ usr/lib/python3.12/site-packages/mido-1.3.2.dist-info/entry_points.txt usr/lib/python3.12/site-packages/mido-1.3.2.dist-info/LICENSE usr/lib/python3.12/site-packages/mido-1.3.2.dist-info/METADATA usr/lib/python3.12/site-packages/mido-1.3.2.dist-info/RECORD usr/lib/python3.12/site-packages/mido-1.3.2.dist-info/top_level.txt usr/lib/python3.12/site-packages/mido-1.3.2.dist-info/WHEEL usr/lib/python3.12/site-packages/mido/ usr/lib/python3.12/site-packages/mido/__init__.py usr/lib/python3.12/site-packages/mido/__pycache__/ usr/lib/python3.12/site-packages/mido/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/mido/__pycache__/frozen.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/__pycache__/frozen.cpython-312.pyc usr/lib/python3.12/site-packages/mido/__pycache__/parser.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/__pycache__/parser.cpython-312.pyc usr/lib/python3.12/site-packages/mido/__pycache__/ports.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/__pycache__/ports.cpython-312.pyc usr/lib/python3.12/site-packages/mido/__pycache__/sockets.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/__pycache__/sockets.cpython-312.pyc usr/lib/python3.12/site-packages/mido/__pycache__/syx.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/__pycache__/syx.cpython-312.pyc usr/lib/python3.12/site-packages/mido/__pycache__/tokenizer.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/__pycache__/tokenizer.cpython-312.pyc usr/lib/python3.12/site-packages/mido/__pycache__/version.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/__pycache__/version.cpython-312.pyc usr/lib/python3.12/site-packages/mido/backends/ usr/lib/python3.12/site-packages/mido/backends/__init__.py usr/lib/python3.12/site-packages/mido/backends/__pycache__/ usr/lib/python3.12/site-packages/mido/backends/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/backends/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/mido/backends/__pycache__/_parser_queue.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/backends/__pycache__/_parser_queue.cpython-312.pyc usr/lib/python3.12/site-packages/mido/backends/__pycache__/amidi.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/backends/__pycache__/amidi.cpython-312.pyc usr/lib/python3.12/site-packages/mido/backends/__pycache__/backend.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/backends/__pycache__/backend.cpython-312.pyc usr/lib/python3.12/site-packages/mido/backends/__pycache__/portmidi_init.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/backends/__pycache__/portmidi_init.cpython-312.pyc usr/lib/python3.12/site-packages/mido/backends/__pycache__/portmidi.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/backends/__pycache__/portmidi.cpython-312.pyc usr/lib/python3.12/site-packages/mido/backends/__pycache__/pygame.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/backends/__pycache__/pygame.cpython-312.pyc usr/lib/python3.12/site-packages/mido/backends/__pycache__/rtmidi_python.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/backends/__pycache__/rtmidi_python.cpython-312.pyc usr/lib/python3.12/site-packages/mido/backends/__pycache__/rtmidi_utils.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/backends/__pycache__/rtmidi_utils.cpython-312.pyc usr/lib/python3.12/site-packages/mido/backends/__pycache__/rtmidi.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/backends/__pycache__/rtmidi.cpython-312.pyc usr/lib/python3.12/site-packages/mido/backends/_parser_queue.py usr/lib/python3.12/site-packages/mido/backends/amidi.py usr/lib/python3.12/site-packages/mido/backends/backend.py usr/lib/python3.12/site-packages/mido/backends/portmidi_init.py usr/lib/python3.12/site-packages/mido/backends/portmidi.py usr/lib/python3.12/site-packages/mido/backends/pygame.py usr/lib/python3.12/site-packages/mido/backends/rtmidi_python.py usr/lib/python3.12/site-packages/mido/backends/rtmidi_utils.py usr/lib/python3.12/site-packages/mido/backends/rtmidi.py usr/lib/python3.12/site-packages/mido/frozen.py usr/lib/python3.12/site-packages/mido/messages/ usr/lib/python3.12/site-packages/mido/messages/__init__.py usr/lib/python3.12/site-packages/mido/messages/__pycache__/ usr/lib/python3.12/site-packages/mido/messages/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/messages/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/mido/messages/__pycache__/checks.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/messages/__pycache__/checks.cpython-312.pyc usr/lib/python3.12/site-packages/mido/messages/__pycache__/decode.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/messages/__pycache__/decode.cpython-312.pyc usr/lib/python3.12/site-packages/mido/messages/__pycache__/encode.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/messages/__pycache__/encode.cpython-312.pyc usr/lib/python3.12/site-packages/mido/messages/__pycache__/messages.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/messages/__pycache__/messages.cpython-312.pyc usr/lib/python3.12/site-packages/mido/messages/__pycache__/specs.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/messages/__pycache__/specs.cpython-312.pyc usr/lib/python3.12/site-packages/mido/messages/__pycache__/strings.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/messages/__pycache__/strings.cpython-312.pyc usr/lib/python3.12/site-packages/mido/messages/checks.py usr/lib/python3.12/site-packages/mido/messages/decode.py usr/lib/python3.12/site-packages/mido/messages/encode.py usr/lib/python3.12/site-packages/mido/messages/messages.py usr/lib/python3.12/site-packages/mido/messages/specs.py usr/lib/python3.12/site-packages/mido/messages/strings.py usr/lib/python3.12/site-packages/mido/midifiles/ usr/lib/python3.12/site-packages/mido/midifiles/__init__.py usr/lib/python3.12/site-packages/mido/midifiles/__pycache__/ usr/lib/python3.12/site-packages/mido/midifiles/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/midifiles/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/mido/midifiles/__pycache__/meta.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/midifiles/__pycache__/meta.cpython-312.pyc usr/lib/python3.12/site-packages/mido/midifiles/__pycache__/midifiles.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/midifiles/__pycache__/midifiles.cpython-312.pyc usr/lib/python3.12/site-packages/mido/midifiles/__pycache__/tracks.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/midifiles/__pycache__/tracks.cpython-312.pyc usr/lib/python3.12/site-packages/mido/midifiles/__pycache__/units.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/midifiles/__pycache__/units.cpython-312.pyc usr/lib/python3.12/site-packages/mido/midifiles/meta.py usr/lib/python3.12/site-packages/mido/midifiles/midifiles.py usr/lib/python3.12/site-packages/mido/midifiles/tracks.py usr/lib/python3.12/site-packages/mido/midifiles/units.py usr/lib/python3.12/site-packages/mido/parser.py usr/lib/python3.12/site-packages/mido/ports.py usr/lib/python3.12/site-packages/mido/scripts/ usr/lib/python3.12/site-packages/mido/scripts/__init__.py usr/lib/python3.12/site-packages/mido/scripts/__pycache__/ usr/lib/python3.12/site-packages/mido/scripts/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/scripts/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/mido/scripts/__pycache__/mido_connect.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/scripts/__pycache__/mido_connect.cpython-312.pyc usr/lib/python3.12/site-packages/mido/scripts/__pycache__/mido_play.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/scripts/__pycache__/mido_play.cpython-312.pyc usr/lib/python3.12/site-packages/mido/scripts/__pycache__/mido_ports.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/scripts/__pycache__/mido_ports.cpython-312.pyc usr/lib/python3.12/site-packages/mido/scripts/__pycache__/mido_serve.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/mido/scripts/__pycache__/mido_serve.cpython-312.pyc usr/lib/python3.12/site-packages/mido/scripts/mido_connect.py usr/lib/python3.12/site-packages/mido/scripts/mido_play.py usr/lib/python3.12/site-packages/mido/scripts/mido_ports.py usr/lib/python3.12/site-packages/mido/scripts/mido_serve.py usr/lib/python3.12/site-packages/mido/sockets.py usr/lib/python3.12/site-packages/mido/syx.py usr/lib/python3.12/site-packages/mido/tokenizer.py usr/lib/python3.12/site-packages/mido/version.py usr/share/ usr/share/licenses/ usr/share/licenses/python-mido/ usr/share/licenses/python-mido/LICENSE
anypython-pyjacklib0.1.1-4Python bindings for libjack using ctypes
Close

python-pyjacklib 0.1.1-4


Architecture:any
Base Package:python-pyjacklib (PKGBUILD)
Description:Python bindings for libjack using ctypes
Upstream URL:https://github.com/jackaudio/pyjacklib
Groups:pro-audio
Download Size:35.2 kB (Download)
Installed Size:217.1 kB
License:GPL
Build Date:2024-05-01 23:02 UTC
Dependencies:jack
python
python-setuptools (make)
Package Contents
usr/ usr/lib/ usr/lib/python3.12/ usr/lib/python3.12/site-packages/ usr/lib/python3.12/site-packages/jacklib/ usr/lib/python3.12/site-packages/jacklib/__init__.py usr/lib/python3.12/site-packages/jacklib/__pycache__/ usr/lib/python3.12/site-packages/jacklib/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/jacklib/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/jacklib/__pycache__/api.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/jacklib/__pycache__/api.cpython-312.pyc usr/lib/python3.12/site-packages/jacklib/__pycache__/helpers.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/jacklib/__pycache__/helpers.cpython-312.pyc usr/lib/python3.12/site-packages/jacklib/__pycache__/version.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/jacklib/__pycache__/version.cpython-312.pyc usr/lib/python3.12/site-packages/jacklib/api.py usr/lib/python3.12/site-packages/jacklib/helpers.py usr/lib/python3.12/site-packages/jacklib/version.py usr/lib/python3.12/site-packages/pyjacklib-0.1.1-py3.12.egg-info/ usr/lib/python3.12/site-packages/pyjacklib-0.1.1-py3.12.egg-info/dependency_links.txt usr/lib/python3.12/site-packages/pyjacklib-0.1.1-py3.12.egg-info/not-zip-safe usr/lib/python3.12/site-packages/pyjacklib-0.1.1-py3.12.egg-info/PKG-INFO usr/lib/python3.12/site-packages/pyjacklib-0.1.1-py3.12.egg-info/SOURCES.txt usr/lib/python3.12/site-packages/pyjacklib-0.1.1-py3.12.egg-info/top_level.txt
anypython-soundfile0.12.1-3A Python library to read and write audio files using libsndfile, CFFI and NumPy
Close

python-soundfile 0.12.1-3


Architecture:any
Base Package:python-soundfile (PKGBUILD)
Description:A Python library to read and write audio files using libsndfile, CFFI and NumPy
Upstream URL:https://github.com/bastibe/python-soundfile
Download Size:44.7 kB (Download)
Installed Size:232.3 kB
License:BSD
Build Date:2024-05-01 23:02 UTC
Dependencies:libsndfile
python-cffi
python-numpy
python-build (make)
python-installer (make)
python-setuptools (make)
python-wheel (make)
python-pytest (check)
Package Contents
usr/ usr/lib/ usr/lib/python3.12/ usr/lib/python3.12/site-packages/ usr/lib/python3.12/site-packages/__pycache__/ usr/lib/python3.12/site-packages/__pycache__/_soundfile.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/__pycache__/_soundfile.cpython-312.pyc usr/lib/python3.12/site-packages/__pycache__/soundfile.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/__pycache__/soundfile.cpython-312.pyc usr/lib/python3.12/site-packages/_soundfile.py usr/lib/python3.12/site-packages/soundfile-0.12.1.dist-info/ usr/lib/python3.12/site-packages/soundfile-0.12.1.dist-info/LICENSE usr/lib/python3.12/site-packages/soundfile-0.12.1.dist-info/METADATA usr/lib/python3.12/site-packages/soundfile-0.12.1.dist-info/RECORD usr/lib/python3.12/site-packages/soundfile-0.12.1.dist-info/top_level.txt usr/lib/python3.12/site-packages/soundfile-0.12.1.dist-info/WHEEL usr/lib/python3.12/site-packages/soundfile-0.12.1.dist-info/zip-safe usr/lib/python3.12/site-packages/soundfile.py usr/share/ usr/share/licenses/ usr/share/licenses/python-soundfile/ usr/share/licenses/python-soundfile/LICENSE
anyqjackcapture0.2.1-4A GUI for easy recording of JACK audio sources using jack_capture
Close

qjackcapture 0.2.1-4


Architecture:any
Base Package:qjackcapture (PKGBUILD)
Description:A GUI for easy recording of JACK audio sources using jack_capture
Upstream URL:https://github.com/SpotlightKid/qjackcapture
Groups:pro-audio
Download Size:86.2 kB (Download)
Installed Size:406.0 kB
License:GPL
Build Date:2024-05-02 06:12 UTC
Dependencies:hicolor-icon-theme
jack_capture
python-natsort
python-pyjacklib
python-pyqt5
python-build (make)
python-installer (make)
python-setuptools (make)
python-wheel (make)
Package Contents
usr/ usr/bin/ usr/bin/qjackcapture usr/lib/ usr/lib/python3.12/ usr/lib/python3.12/site-packages/ usr/lib/python3.12/site-packages/QJackCapture-0.2.1.dist-info/ usr/lib/python3.12/site-packages/QJackCapture-0.2.1.dist-info/entry_points.txt usr/lib/python3.12/site-packages/QJackCapture-0.2.1.dist-info/LICENSE usr/lib/python3.12/site-packages/QJackCapture-0.2.1.dist-info/METADATA usr/lib/python3.12/site-packages/QJackCapture-0.2.1.dist-info/RECORD usr/lib/python3.12/site-packages/QJackCapture-0.2.1.dist-info/top_level.txt usr/lib/python3.12/site-packages/QJackCapture-0.2.1.dist-info/WHEEL usr/lib/python3.12/site-packages/qjackcapture/ usr/lib/python3.12/site-packages/qjackcapture/__main__.py usr/lib/python3.12/site-packages/qjackcapture/__pycache__/ usr/lib/python3.12/site-packages/qjackcapture/__pycache__/__main__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/qjackcapture/__pycache__/__main__.cpython-312.pyc usr/lib/python3.12/site-packages/qjackcapture/__pycache__/app.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/qjackcapture/__pycache__/app.cpython-312.pyc usr/lib/python3.12/site-packages/qjackcapture/__pycache__/resources_rc.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/qjackcapture/__pycache__/resources_rc.cpython-312.pyc usr/lib/python3.12/site-packages/qjackcapture/__pycache__/ui_mainwindow.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/qjackcapture/__pycache__/ui_mainwindow.cpython-312.pyc usr/lib/python3.12/site-packages/qjackcapture/__pycache__/userdirs.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/qjackcapture/__pycache__/userdirs.cpython-312.pyc usr/lib/python3.12/site-packages/qjackcapture/__pycache__/version.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/qjackcapture/__pycache__/version.cpython-312.pyc usr/lib/python3.12/site-packages/qjackcapture/app.py usr/lib/python3.12/site-packages/qjackcapture/resources_rc.py usr/lib/python3.12/site-packages/qjackcapture/ui_mainwindow.py usr/lib/python3.12/site-packages/qjackcapture/userdirs.py usr/lib/python3.12/site-packages/qjackcapture/version.py usr/share/ usr/share/applications/ usr/share/applications/qjackcapture.desktop usr/share/icons/ usr/share/icons/hicolor/ usr/share/icons/hicolor/48x48/ usr/share/icons/hicolor/48x48/apps/ usr/share/icons/hicolor/48x48/apps/qjackcapture.png
aarch64rakarrack-plus1.2.6-1Guitar Effects Processor
Close

rakarrack-plus 1.2.6-1


Architecture:aarch64
Base Package:rakarrack-plus (PKGBUILD)
Description:Guitar Effects Processor
Upstream URL:https://github.com/Stazed/rakarrack-plus
Groups:lv2-plugins
pro-audio
Download Size:2.9 MB (Download)
Installed Size:24.4 MB
License:GPL-2.0-only
Build Date:2024-08-24 13:04 UTC
Dependencies:alsa-utils
gcc-libs
glibc
libasound.so=2-64
libfftw3.so=3-64
libfftw3f.so=3-64
libfltk_images.so=1.3-64
libfltk.so=1.3-64
libjack.so=0-64
liblo.so=7-64
libsamplerate.so=0-64
libsndfile.so=1-64
libx11
libxpm
lv2-host: for running LV2 plugins (optional)
new-session-manager: for NSM support (optional)
cmake (make)
fftw (make)
fltk (make)
jack (make)
liblo (make)
libsndfile (make)
lv2 (make)
python (make)
lilv (check)
lv2lint (check)
Package Contents
usr/ usr/bin/ usr/bin/rakarrack-plus usr/bin/rakconvert usr/bin/rakgit2new usr/bin/rakverb usr/bin/rakverb2 usr/lib/ usr/lib/lv2/ usr/lib/lv2/RakarrackPlus.lv2/ usr/lib/lv2/RakarrackPlus.lv2/90-Shifter.dly usr/lib/lv2/RakarrackPlus.lv2/alienwah_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/alienwah.ttl usr/lib/lv2/RakarrackPlus.lv2/Ampeg.wav usr/lib/lv2/RakarrackPlus.lv2/aphas_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/aphas.ttl usr/lib/lv2/RakarrackPlus.lv2/arpie_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/arpie.ttl usr/lib/lv2/RakarrackPlus.lv2/Basic_LR_Delay.dly usr/lib/lv2/RakarrackPlus.lv2/Bassman.wav usr/lib/lv2/RakarrackPlus.lv2/cab.ttl usr/lib/lv2/RakarrackPlus.lv2/Chamber.rvb usr/lib/lv2/RakarrackPlus.lv2/chorus_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/chorus.ttl usr/lib/lv2/RakarrackPlus.lv2/coilcrafter_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/coilcrafter.ttl usr/lib/lv2/RakarrackPlus.lv2/Comb.dly usr/lib/lv2/RakarrackPlus.lv2/comp_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/comp.ttl usr/lib/lv2/RakarrackPlus.lv2/compband_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/compband.ttl usr/lib/lv2/RakarrackPlus.lv2/Concrete_Stairwell.rvb usr/lib/lv2/RakarrackPlus.lv2/convolotron_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/convolotron.ttl usr/lib/lv2/RakarrackPlus.lv2/dere_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/dere.ttl usr/lib/lv2/RakarrackPlus.lv2/dist_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/dist.ttl usr/lib/lv2/RakarrackPlus.lv2/distband_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/distband.ttl usr/lib/lv2/RakarrackPlus.lv2/dual_flange_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/dual_flange.ttl usr/lib/lv2/RakarrackPlus.lv2/echo_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/echo.ttl usr/lib/lv2/RakarrackPlus.lv2/EchoFlange.dly usr/lib/lv2/RakarrackPlus.lv2/echotron_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/echotron.ttl usr/lib/lv2/RakarrackPlus.lv2/echoverse_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/echoverse.ttl usr/lib/lv2/RakarrackPlus.lv2/eql_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/eql.ttl usr/lib/lv2/RakarrackPlus.lv2/eqp_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/eqp.ttl usr/lib/lv2/RakarrackPlus.lv2/exciter_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/exciter.ttl usr/lib/lv2/RakarrackPlus.lv2/expander_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/expander.ttl usr/lib/lv2/RakarrackPlus.lv2/Fender_Superchamp.wav usr/lib/lv2/RakarrackPlus.lv2/Filtered_Echo.dly usr/lib/lv2/RakarrackPlus.lv2/Flange_plus_Echo.dly usr/lib/lv2/RakarrackPlus.lv2/flange_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/flange.ttl usr/lib/lv2/RakarrackPlus.lv2/gate_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/gate.ttl usr/lib/lv2/RakarrackPlus.lv2/Guitar_Ambience.rvb usr/lib/lv2/RakarrackPlus.lv2/Hall.rvb usr/lib/lv2/RakarrackPlus.lv2/harm_no_midi_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/harm_no_midi.ttl usr/lib/lv2/RakarrackPlus.lv2/harm.ttl usr/lib/lv2/RakarrackPlus.lv2/inf_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/inf.ttl usr/lib/lv2/RakarrackPlus.lv2/JCM2000.wav usr/lib/lv2/RakarrackPlus.lv2/Large_Hall.rvb usr/lib/lv2/RakarrackPlus.lv2/Large_Room.rvb usr/lib/lv2/RakarrackPlus.lv2/manifest.ttl usr/lib/lv2/RakarrackPlus.lv2/Marshall_JCM200.wav usr/lib/lv2/RakarrackPlus.lv2/Marshall_Plexi.wav usr/lib/lv2/RakarrackPlus.lv2/Marshall-2.wav usr/lib/lv2/RakarrackPlus.lv2/mdel_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/mdel.ttl usr/lib/lv2/RakarrackPlus.lv2/Med_Hall.rvb usr/lib/lv2/RakarrackPlus.lv2/Mesa_Boogie-2.wav usr/lib/lv2/RakarrackPlus.lv2/Mesa_Boogie.wav usr/lib/lv2/RakarrackPlus.lv2/midi_converter.ttl usr/lib/lv2/RakarrackPlus.lv2/Multi-Chorus.dly usr/lib/lv2/RakarrackPlus.lv2/mutromojo_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/mutromojo.ttl usr/lib/lv2/RakarrackPlus.lv2/Notch-Wah.dly usr/lib/lv2/RakarrackPlus.lv2/otrem_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/otrem.ttl usr/lib/lv2/RakarrackPlus.lv2/overdrive_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/overdrive.ttl usr/lib/lv2/RakarrackPlus.lv2/pan_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/pan.ttl usr/lib/lv2/RakarrackPlus.lv2/phas_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/phas.ttl usr/lib/lv2/RakarrackPlus.lv2/PingPong.dly usr/lib/lv2/RakarrackPlus.lv2/rakarrack-plus-lv2.so usr/lib/lv2/RakarrackPlus.lv2/ressol_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/ressol.ttl usr/lib/lv2/RakarrackPlus.lv2/reve_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/reve.ttl usr/lib/lv2/RakarrackPlus.lv2/reverbtron_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/reverbtron.ttl usr/lib/lv2/RakarrackPlus.lv2/ring_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/ring.ttl usr/lib/lv2/RakarrackPlus.lv2/Santa_Lucia.rvb usr/lib/lv2/RakarrackPlus.lv2/sequence_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/sequence.ttl usr/lib/lv2/RakarrackPlus.lv2/shelfboost_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/shelfboost.ttl usr/lib/lv2/RakarrackPlus.lv2/shifter_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/shifter.ttl usr/lib/lv2/RakarrackPlus.lv2/Short_Delays.dly usr/lib/lv2/RakarrackPlus.lv2/shuffle_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/shuffle.ttl usr/lib/lv2/RakarrackPlus.lv2/stereoharm_no_midi_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/stereoharm_no_midi.ttl usr/lib/lv2/RakarrackPlus.lv2/stereoharm.ttl usr/lib/lv2/RakarrackPlus.lv2/stomp_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/stomp.ttl usr/lib/lv2/RakarrackPlus.lv2/Studio.rvb usr/lib/lv2/RakarrackPlus.lv2/sustain_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/sustain.ttl usr/lib/lv2/RakarrackPlus.lv2/SwingPong.dly usr/lib/lv2/RakarrackPlus.lv2/synthfilter_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/synthfilter.ttl usr/lib/lv2/RakarrackPlus.lv2/Twilight.rvb usr/lib/lv2/RakarrackPlus.lv2/valve_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/valve.ttl usr/lib/lv2/RakarrackPlus.lv2/varyband_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/varyband.ttl usr/lib/lv2/RakarrackPlus.lv2/vibe_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/vibe.ttl usr/lib/lv2/RakarrackPlus.lv2/vocoder_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/vocoder.ttl usr/lib/lv2/RakarrackPlus.lv2/wha_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/wha.ttl usr/share/ usr/share/applications/ usr/share/applications/rakarrack-plus.desktop usr/share/doc/ usr/share/doc/rakarrack-plus/ usr/share/doc/rakarrack-plus/AUTHORS usr/share/doc/rakarrack-plus/COPYING usr/share/doc/rakarrack-plus/html/ usr/share/doc/rakarrack-plus/html/aci.html usr/share/doc/rakarrack-plus/html/credits.html usr/share/doc/rakarrack-plus/html/css/ usr/share/doc/rakarrack-plus/html/css/kde-default.css usr/share/doc/rakarrack-plus/html/effects.html usr/share/doc/rakarrack-plus/html/extra.html usr/share/doc/rakarrack-plus/html/general.html usr/share/doc/rakarrack-plus/html/hardware.html usr/share/doc/rakarrack-plus/html/help.html usr/share/doc/rakarrack-plus/html/imagenes/ usr/share/doc/rakarrack-plus/html/imagenes/aci.jpg usr/share/doc/rakarrack-plus/html/imagenes/Aphaser.jpg usr/share/doc/rakarrack-plus/html/imagenes/arp.jpg usr/share/doc/rakarrack-plus/html/imagenes/aw.jpg usr/share/doc/rakarrack-plus/html/imagenes/bank_mgmt.jpg usr/share/doc/rakarrack-plus/html/imagenes/cabinet.jpg usr/share/doc/rakarrack-plus/html/imagenes/chorus.jpg usr/share/doc/rakarrack-plus/html/imagenes/coi.jpg usr/share/doc/rakarrack-plus/html/imagenes/compresor.jpg usr/share/doc/rakarrack-plus/html/imagenes/con.jpg usr/share/doc/rakarrack-plus/html/imagenes/cpb.jpg usr/share/doc/rakarrack-plus/html/imagenes/db.jpg usr/share/doc/rakarrack-plus/html/imagenes/delay.jpg usr/share/doc/rakarrack-plus/html/imagenes/derelict.jpg usr/share/doc/rakarrack-plus/html/imagenes/df.jpg usr/share/doc/rakarrack-plus/html/imagenes/dist.jpg usr/share/doc/rakarrack-plus/html/imagenes/dlyfile.png usr/share/doc/rakarrack-plus/html/imagenes/eco.jpg usr/share/doc/rakarrack-plus/html/imagenes/ect.jpg usr/share/doc/rakarrack-plus/html/imagenes/ecv.jpg usr/share/doc/rakarrack-plus/html/imagenes/eq.jpg usr/share/doc/rakarrack-plus/html/imagenes/ex.jpg usr/share/doc/rakarrack-plus/html/imagenes/exp.jpg usr/share/doc/rakarrack-plus/html/imagenes/flanger.jpg usr/share/doc/rakarrack-plus/html/imagenes/har.jpg usr/share/doc/rakarrack-plus/html/imagenes/Help_rakarrack-plus.png usr/share/doc/rakarrack-plus/html/imagenes/icono_rakarrack_128x128.png usr/share/doc/rakarrack-plus/html/imagenes/inf.jpg usr/share/doc/rakarrack-plus/html/imagenes/jack_conections.jpg usr/share/doc/rakarrack-plus/html/imagenes/loo.jpg usr/share/doc/rakarrack-plus/html/imagenes/md.jpg usr/share/doc/rakarrack-plus/html/imagenes/metro.jpg usr/share/doc/rakarrack-plus/html/imagenes/midi.jpg usr/share/doc/rakarrack-plus/html/imagenes/midilearn.jpg usr/share/doc/rakarrack-plus/html/imagenes/mut.jpg usr/share/doc/rakarrack-plus/html/imagenes/ng.jpg usr/share/doc/rakarrack-plus/html/imagenes/opt.jpg usr/share/doc/rakarrack-plus/html/imagenes/order.jpg usr/share/doc/rakarrack-plus/html/imagenes/ovrd.jpg usr/share/doc/rakarrack-plus/html/imagenes/pan.jpg usr/share/doc/rakarrack-plus/html/imagenes/peq.jpg usr/share/doc/rakarrack-plus/html/imagenes/phaser.jpg usr/share/doc/rakarrack-plus/html/imagenes/preset_name1.png usr/share/doc/rakarrack-plus/html/imagenes/ressolution.jpg usr/share/doc/rakarrack-plus/html/imagenes/reverb.jpg usr/share/doc/rakarrack-plus/html/imagenes/ring.jpg usr/share/doc/rakarrack-plus/html/imagenes/rvt.jpg usr/share/doc/rakarrack-plus/html/imagenes/schema1b.jpg usr/share/doc/rakarrack-plus/html/imagenes/schema2b.jpg usr/share/doc/rakarrack-plus/html/imagenes/screen.png usr/share/doc/rakarrack-plus/html/imagenes/seq.jpg usr/share/doc/rakarrack-plus/html/imagenes/settings.jpg usr/share/doc/rakarrack-plus/html/imagenes/settings1.jpg usr/share/doc/rakarrack-plus/html/imagenes/settings2.jpg usr/share/doc/rakarrack-plus/html/imagenes/settings3.jpg usr/share/doc/rakarrack-plus/html/imagenes/settings4.jpg usr/share/doc/rakarrack-plus/html/imagenes/settings5.jpg usr/share/doc/rakarrack-plus/html/imagenes/settings6.jpg usr/share/doc/rakarrack-plus/html/imagenes/she.jpg usr/share/doc/rakarrack-plus/html/imagenes/shi.jpg usr/share/doc/rakarrack-plus/html/imagenes/shu.jpg usr/share/doc/rakarrack-plus/html/imagenes/sth.jpg usr/share/doc/rakarrack-plus/html/imagenes/sto.jpg usr/share/doc/rakarrack-plus/html/imagenes/sus.jpg usr/share/doc/rakarrack-plus/html/imagenes/syn.jpg usr/share/doc/rakarrack-plus/html/imagenes/tap.jpg usr/share/doc/rakarrack-plus/html/imagenes/thema1b.jpg usr/share/doc/rakarrack-plus/html/imagenes/thema2b.jpg usr/share/doc/rakarrack-plus/html/imagenes/thema3b.jpg usr/share/doc/rakarrack-plus/html/imagenes/tuner1.png usr/share/doc/rakarrack-plus/html/imagenes/val.jpg usr/share/doc/rakarrack-plus/html/imagenes/var.jpg usr/share/doc/rakarrack-plus/html/imagenes/vib.jpg usr/share/doc/rakarrack-plus/html/imagenes/voc.jpg usr/share/doc/rakarrack-plus/html/imagenes/ww.jpg usr/share/doc/rakarrack-plus/html/license.html usr/share/doc/rakarrack-plus/html/metronome.html usr/share/doc/rakarrack-plus/html/midiconverter.html usr/share/doc/rakarrack-plus/html/midiic.html usr/share/doc/rakarrack-plus/html/midilearn.html usr/share/doc/rakarrack-plus/html/presetlist.html usr/share/doc/rakarrack-plus/html/taptempo.html usr/share/doc/rakarrack-plus/html/tuner.html usr/share/doc/rakarrack-plus/README usr/share/doc/rakarrack-plus/README.md usr/share/man/ usr/share/man/man1/ usr/share/man/man1/rakarrack-plus.1.gz usr/share/pixmaps/ usr/share/pixmaps/icono_rakarrack-plus_128x128.png usr/share/pixmaps/icono_rakarrack-plus_32x32.png usr/share/pixmaps/icono_rakarrack-plus_64x64.png usr/share/pixmaps/rakarrack-plus.svg usr/share/rakarrack-plus/ usr/share/rakarrack-plus/1.dly usr/share/rakarrack-plus/1.rvb usr/share/rakarrack-plus/1.wav usr/share/rakarrack-plus/10.dly usr/share/rakarrack-plus/10.rvb usr/share/rakarrack-plus/11.dly usr/share/rakarrack-plus/2.dly usr/share/rakarrack-plus/2.rvb usr/share/rakarrack-plus/2.wav usr/share/rakarrack-plus/3.dly usr/share/rakarrack-plus/3.rvb usr/share/rakarrack-plus/3.wav usr/share/rakarrack-plus/4.dly usr/share/rakarrack-plus/4.rvb usr/share/rakarrack-plus/4.wav usr/share/rakarrack-plus/5.dly usr/share/rakarrack-plus/5.rvb usr/share/rakarrack-plus/5.wav usr/share/rakarrack-plus/6.dly usr/share/rakarrack-plus/6.rvb usr/share/rakarrack-plus/6.wav usr/share/rakarrack-plus/7.dly usr/share/rakarrack-plus/7.rvb usr/share/rakarrack-plus/7.wav usr/share/rakarrack-plus/8.dly usr/share/rakarrack-plus/8.rvb usr/share/rakarrack-plus/8.wav usr/share/rakarrack-plus/9.dly usr/share/rakarrack-plus/9.rvb usr/share/rakarrack-plus/9.wav usr/share/rakarrack-plus/bg_gray_furr.png usr/share/rakarrack-plus/bg.png usr/share/rakarrack-plus/bg1.png usr/share/rakarrack-plus/bg2.png usr/share/rakarrack-plus/bg3.png usr/share/rakarrack-plus/bg4.png usr/share/rakarrack-plus/bg5.png usr/share/rakarrack-plus/bg6.png usr/share/rakarrack-plus/blackbg.png usr/share/rakarrack-plus/Default.rkrb usr/share/rakarrack-plus/Extra.rkrb usr/share/rakarrack-plus/Extra1.rkrb usr/share/rakarrack-plus/InsertPresets.rkis usr/share/rakarrack-plus/SFX_CC_03.rkrb usr/share/rakarrack-plus/SFX_CC_04.rkrb usr/share/rakarrack-plus/SFX_CC_05.rkrb usr/share/rakarrack-plus/SFX_CC_06.rkrb usr/share/rakarrack-plus/SFX_CC_07.rkrb usr/share/rakarrack-plus/SFX_CC_08.rkrb usr/share/rakarrack-plus/SFX_CC_09.rkrb usr/share/RakarrackPlus.lv2/ usr/share/RakarrackPlus.lv2/12 Strings Crazy.carxp usr/share/RakarrackPlus.lv2/12 Strings Down.carxp usr/share/RakarrackPlus.lv2/12 Strings.carxp usr/share/RakarrackPlus.lv2/90-Shifter.dly usr/share/RakarrackPlus.lv2/Acoustic Bright.carxp usr/share/RakarrackPlus.lv2/Acoustic Chorus.carxp usr/share/RakarrackPlus.lv2/Acoustic Sparkle.carxp usr/share/RakarrackPlus.lv2/Acoustic.carxp usr/share/RakarrackPlus.lv2/All is Lost.carxp usr/share/RakarrackPlus.lv2/Ambience.carxp usr/share/RakarrackPlus.lv2/Ambient Flange.carxp usr/share/RakarrackPlus.lv2/Amp.carxp usr/share/RakarrackPlus.lv2/Ampeg.wav usr/share/RakarrackPlus.lv2/Angel's Chorus.carxp usr/share/RakarrackPlus.lv2/Arppeg Sprinkler.carxp usr/share/RakarrackPlus.lv2/Aural Phaser.carxp usr/share/RakarrackPlus.lv2/Auto Wah 2.carxp usr/share/RakarrackPlus.lv2/Auto Wah.carxp usr/share/RakarrackPlus.lv2/AutoYa.carxp usr/share/RakarrackPlus.lv2/AutoYiYa.carxp usr/share/RakarrackPlus.lv2/Aymimadre.carxp usr/share/RakarrackPlus.lv2/Backward Tumble.carxp usr/share/RakarrackPlus.lv2/Ballada Duet Gm *.carxp usr/share/RakarrackPlus.lv2/Ballada Solo.carxp usr/share/RakarrackPlus.lv2/Basic_LR_Delay.dly usr/share/RakarrackPlus.lv2/Bass.carxp usr/share/RakarrackPlus.lv2/Bassman.wav usr/share/RakarrackPlus.lv2/Big Stack.carxp usr/share/RakarrackPlus.lv2/BlowMoeJo.carxp usr/share/RakarrackPlus.lv2/Bright Size.carxp usr/share/RakarrackPlus.lv2/C Melody.carxp usr/share/RakarrackPlus.lv2/Cathedral Swell.carxp usr/share/RakarrackPlus.lv2/Chamber.rvb usr/share/RakarrackPlus.lv2/Charred Violets.carxp usr/share/RakarrackPlus.lv2/Chinese Lead.carxp usr/share/RakarrackPlus.lv2/Chorus Riff.carxp usr/share/RakarrackPlus.lv2/Circle Tremolo.carxp usr/share/RakarrackPlus.lv2/Classic Chorus.carxp usr/share/RakarrackPlus.lv2/Classic WF.carxp usr/share/RakarrackPlus.lv2/Clean Smack Back.carxp usr/share/RakarrackPlus.lv2/Clean Swirl.carxp usr/share/RakarrackPlus.lv2/Clean Tremolo.carxp usr/share/RakarrackPlus.lv2/Clean Twirl.carxp usr/share/RakarrackPlus.lv2/Clean-2.carxp usr/share/RakarrackPlus.lv2/Clean-A-Duet *.carxp usr/share/RakarrackPlus.lv2/CleanChord.carxp usr/share/RakarrackPlus.lv2/Comb.dly usr/share/RakarrackPlus.lv2/Comphaser.carxp usr/share/RakarrackPlus.lv2/Concrete_Stairwell.rvb usr/share/RakarrackPlus.lv2/CrunchPhaser.carxp usr/share/RakarrackPlus.lv2/D Flange.carxp usr/share/RakarrackPlus.lv2/Dark Alley.carxp usr/share/RakarrackPlus.lv2/Delayed Chorus.carxp usr/share/RakarrackPlus.lv2/Dig For Shift.carxp usr/share/RakarrackPlus.lv2/Diode Overdose.carxp usr/share/RakarrackPlus.lv2/Dirty Junk.carxp usr/share/RakarrackPlus.lv2/Dist Dead.carxp usr/share/RakarrackPlus.lv2/Dist-4.carxp usr/share/RakarrackPlus.lv2/Dist-Duet-A7 *.carxp usr/share/RakarrackPlus.lv2/Dist-WahWah-1.carxp usr/share/RakarrackPlus.lv2/Double Tremolo.carxp usr/share/RakarrackPlus.lv2/Dreamland.carxp usr/share/RakarrackPlus.lv2/EboWeboAmiYeebo.carxp usr/share/RakarrackPlus.lv2/EchoFlange.dly usr/share/RakarrackPlus.lv2/EchoPhase.carxp usr/share/RakarrackPlus.lv2/Edgy.carxp usr/share/RakarrackPlus.lv2/Envelope YaYa.carxp usr/share/RakarrackPlus.lv2/Extreme.carxp usr/share/RakarrackPlus.lv2/Falling in Fantasy.carxp usr/share/RakarrackPlus.lv2/Fender_Superchamp.wav usr/share/RakarrackPlus.lv2/Filtered_Echo.dly usr/share/RakarrackPlus.lv2/Flange_plus_Echo.dly usr/share/RakarrackPlus.lv2/Floyd Trip.carxp usr/share/RakarrackPlus.lv2/Funk Wah.carxp usr/share/RakarrackPlus.lv2/Funk-1.carxp usr/share/RakarrackPlus.lv2/Gary Loaded.carxp usr/share/RakarrackPlus.lv2/Gary Moded.carxp usr/share/RakarrackPlus.lv2/Gary Mooore.carxp usr/share/RakarrackPlus.lv2/GibSoonMur.carxp usr/share/RakarrackPlus.lv2/GibSoonMurder.carxp usr/share/RakarrackPlus.lv2/GibSoonMurDouble.carxp usr/share/RakarrackPlus.lv2/Go with Him.carxp usr/share/RakarrackPlus.lv2/Goin through a phase.carxp usr/share/RakarrackPlus.lv2/Goog Bye.carxp usr/share/RakarrackPlus.lv2/Guitar_Ambience.rvb usr/share/RakarrackPlus.lv2/Hall.rvb usr/share/RakarrackPlus.lv2/Hard Rock Amp.carxp usr/share/RakarrackPlus.lv2/Heaven Garden.carxp usr/share/RakarrackPlus.lv2/Helicopter.carxp usr/share/RakarrackPlus.lv2/Hendrix.carxp usr/share/RakarrackPlus.lv2/Ignited.carxp usr/share/RakarrackPlus.lv2/Igniter.carxp usr/share/RakarrackPlus.lv2/Insanity Mojo.carxp usr/share/RakarrackPlus.lv2/Jazz Bright Chorus.carxp usr/share/RakarrackPlus.lv2/Jazz Hall.carxp usr/share/RakarrackPlus.lv2/Jazz Vibrato.carxp usr/share/RakarrackPlus.lv2/JCM2000.wav usr/share/RakarrackPlus.lv2/Jet Flanger.carxp usr/share/RakarrackPlus.lv2/JimiVibe.carxp usr/share/RakarrackPlus.lv2/JimiVibe2.carxp usr/share/RakarrackPlus.lv2/Joe.carxp usr/share/RakarrackPlus.lv2/JoeMoeJo.carxp usr/share/RakarrackPlus.lv2/JZ Power.carxp usr/share/RakarrackPlus.lv2/Large_Hall.rvb usr/share/RakarrackPlus.lv2/Large_Room.rvb usr/share/RakarrackPlus.lv2/Like Pat.carxp usr/share/RakarrackPlus.lv2/Lil Blue.carxp usr/share/RakarrackPlus.lv2/Lil Dirty.carxp usr/share/RakarrackPlus.lv2/Live Punk Show.carxp usr/share/RakarrackPlus.lv2/Marshall_JCM200.wav usr/share/RakarrackPlus.lv2/Marshall_Plexi.wav usr/share/RakarrackPlus.lv2/Marshall-2.wav usr/share/RakarrackPlus.lv2/Med_Hall.rvb usr/share/RakarrackPlus.lv2/Melon Collie II.carxp usr/share/RakarrackPlus.lv2/Melon Collie.carxp usr/share/RakarrackPlus.lv2/Mesa_Boogie-2.wav usr/share/RakarrackPlus.lv2/Mesa_Boogie.wav usr/share/RakarrackPlus.lv2/Metal Amp.carxp usr/share/RakarrackPlus.lv2/Metal Tone.carxp usr/share/RakarrackPlus.lv2/Metal.carxp usr/share/RakarrackPlus.lv2/MetalAmploaded.carxp usr/share/RakarrackPlus.lv2/MetalAmpOverloaded.carxp usr/share/RakarrackPlus.lv2/MetalAmpReloaded.carxp usr/share/RakarrackPlus.lv2/Mild Clean.carxp usr/share/RakarrackPlus.lv2/Mono Arpeg.carxp usr/share/RakarrackPlus.lv2/Multi-Chorus.dly usr/share/RakarrackPlus.lv2/Multichorus.carxp usr/share/RakarrackPlus.lv2/Music.carxp usr/share/RakarrackPlus.lv2/Mutation.carxp usr/share/RakarrackPlus.lv2/Naranja One.carxp usr/share/RakarrackPlus.lv2/Nasty.carxp usr/share/RakarrackPlus.lv2/Noise Synth.carxp usr/share/RakarrackPlus.lv2/Nostalgia.carxp usr/share/RakarrackPlus.lv2/Notch-Wah.dly usr/share/RakarrackPlus.lv2/Octaflange - Dirty.carxp usr/share/RakarrackPlus.lv2/Octaflange.carxp usr/share/RakarrackPlus.lv2/OctaVibe - Dirty Echo.carxp usr/share/RakarrackPlus.lv2/Old Pat.carxp usr/share/RakarrackPlus.lv2/Old Tavern.carxp usr/share/RakarrackPlus.lv2/Overdrive.carxp usr/share/RakarrackPlus.lv2/Oxygen.carxp usr/share/RakarrackPlus.lv2/Oxygenated.carxp usr/share/RakarrackPlus.lv2/Padpeg.carxp usr/share/RakarrackPlus.lv2/Panalog Phaser.carxp usr/share/RakarrackPlus.lv2/Phasetron.carxp usr/share/RakarrackPlus.lv2/PingPong.dly usr/share/RakarrackPlus.lv2/Pitch Vibrato.carxp usr/share/RakarrackPlus.lv2/PongChor.carxp usr/share/RakarrackPlus.lv2/Quasi Acoustic.carxp usr/share/RakarrackPlus.lv2/Rain Echo.carxp usr/share/RakarrackPlus.lv2/Random Eyes.carxp usr/share/RakarrackPlus.lv2/RandYa.carxp usr/share/RakarrackPlus.lv2/Reverse delay ambience.carxp usr/share/RakarrackPlus.lv2/Rhythm.carxp usr/share/RakarrackPlus.lv2/Rhythmic Vibes.carxp usr/share/RakarrackPlus.lv2/Rock Amp.carxp usr/share/RakarrackPlus.lv2/Rock Band 2.carxp usr/share/RakarrackPlus.lv2/Rock Band.carxp usr/share/RakarrackPlus.lv2/Rodent Airlines.carxp usr/share/RakarrackPlus.lv2/Rolling Echo.carxp usr/share/RakarrackPlus.lv2/Santa_Lucia.rvb usr/share/RakarrackPlus.lv2/Satriani 2.carxp usr/share/RakarrackPlus.lv2/Satriani.carxp usr/share/RakarrackPlus.lv2/SeqDist.carxp usr/share/RakarrackPlus.lv2/Shall.carxp usr/share/RakarrackPlus.lv2/Shall2.carxp usr/share/RakarrackPlus.lv2/Short_Delays.dly usr/share/RakarrackPlus.lv2/Slap Echo.carxp usr/share/RakarrackPlus.lv2/Soft Dist.carxp usr/share/RakarrackPlus.lv2/Soft Stereo.carxp usr/share/RakarrackPlus.lv2/Sounds and-a-log.carxp usr/share/RakarrackPlus.lv2/Sounds on Sound.carxp usr/share/RakarrackPlus.lv2/Space Invasion.carxp usr/share/RakarrackPlus.lv2/Spandex.carxp usr/share/RakarrackPlus.lv2/StartButton.carxp usr/share/RakarrackPlus.lv2/Stereo Ecstasy.carxp usr/share/RakarrackPlus.lv2/Stereo Tremolo.carxp usr/share/RakarrackPlus.lv2/Stereo Vibrato.carxp usr/share/RakarrackPlus.lv2/Stereo.carxp usr/share/RakarrackPlus.lv2/Steve Dist 2.carxp usr/share/RakarrackPlus.lv2/Steve Distortion.carxp usr/share/RakarrackPlus.lv2/Studio.rvb usr/share/RakarrackPlus.lv2/SubOctave.carxp usr/share/RakarrackPlus.lv2/Succulent Clean.carxp usr/share/RakarrackPlus.lv2/Suction Flange +dist.carxp usr/share/RakarrackPlus.lv2/Suction II.carxp usr/share/RakarrackPlus.lv2/Suction.carxp usr/share/RakarrackPlus.lv2/Summer at the Beach.carxp usr/share/RakarrackPlus.lv2/Summer at the Pit.carxp usr/share/RakarrackPlus.lv2/Summer at the Pool.carxp usr/share/RakarrackPlus.lv2/Surf's Up.carxp usr/share/RakarrackPlus.lv2/SwingPong.dly usr/share/RakarrackPlus.lv2/Swirly.carxp usr/share/RakarrackPlus.lv2/Talk to me.carxp usr/share/RakarrackPlus.lv2/Talk-Clean.carxp usr/share/RakarrackPlus.lv2/The Trooper.carxp usr/share/RakarrackPlus.lv2/Tight Rock.carxp usr/share/RakarrackPlus.lv2/Trash Chorus.carxp usr/share/RakarrackPlus.lv2/TriadEchoes.carxp usr/share/RakarrackPlus.lv2/Tricky Dick.carxp usr/share/RakarrackPlus.lv2/Trigger Chorus.carxp usr/share/RakarrackPlus.lv2/Trippy Harmo.carxp usr/share/RakarrackPlus.lv2/True Heavy-3.carxp usr/share/RakarrackPlus.lv2/Twilight.rvb usr/share/RakarrackPlus.lv2/Underwater I.carxp usr/share/RakarrackPlus.lv2/Violin Distortion.carxp usr/share/RakarrackPlus.lv2/Violin.carxp usr/share/RakarrackPlus.lv2/VocoHarm.carxp usr/share/RakarrackPlus.lv2/Voy Pa Alla.carxp usr/share/RakarrackPlus.lv2/Warm Chorus.carxp
x86_64rakarrack-plus1.2.6-1Guitar Effects Processor
Close

rakarrack-plus 1.2.6-1


Architecture:x86_64
Base Package:rakarrack-plus (PKGBUILD)
Description:Guitar Effects Processor
Upstream URL:https://github.com/Stazed/rakarrack-plus
Groups:lv2-plugins
pro-audio
Download Size:3.3 MB (Download)
Installed Size:24.7 MB
License:GPL-2.0-only
Build Date:2024-08-24 13:04 UTC
Dependencies:alsa-utils
gcc-libs
glibc
libasound.so=2-64
libfftw3.so=3-64
libfftw3f.so=3-64
libfltk_images.so=1.3-64
libfltk.so=1.3-64
libjack.so=0-64
liblo.so=7-64
libsamplerate.so=0-64
libsndfile.so=1-64
libx11
libxpm
lv2-host: for running LV2 plugins (optional)
new-session-manager: for NSM support (optional)
cmake (make)
fftw (make)
fltk (make)
jack (make)
liblo (make)
libsndfile (make)
lv2 (make)
python (make)
lilv (check)
lv2lint (check)
Package Contents
usr/ usr/bin/ usr/bin/rakarrack-plus usr/bin/rakconvert usr/bin/rakgit2new usr/bin/rakverb usr/bin/rakverb2 usr/lib/ usr/lib/lv2/ usr/lib/lv2/RakarrackPlus.lv2/ usr/lib/lv2/RakarrackPlus.lv2/90-Shifter.dly usr/lib/lv2/RakarrackPlus.lv2/alienwah_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/alienwah.ttl usr/lib/lv2/RakarrackPlus.lv2/Ampeg.wav usr/lib/lv2/RakarrackPlus.lv2/aphas_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/aphas.ttl usr/lib/lv2/RakarrackPlus.lv2/arpie_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/arpie.ttl usr/lib/lv2/RakarrackPlus.lv2/Basic_LR_Delay.dly usr/lib/lv2/RakarrackPlus.lv2/Bassman.wav usr/lib/lv2/RakarrackPlus.lv2/cab.ttl usr/lib/lv2/RakarrackPlus.lv2/Chamber.rvb usr/lib/lv2/RakarrackPlus.lv2/chorus_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/chorus.ttl usr/lib/lv2/RakarrackPlus.lv2/coilcrafter_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/coilcrafter.ttl usr/lib/lv2/RakarrackPlus.lv2/Comb.dly usr/lib/lv2/RakarrackPlus.lv2/comp_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/comp.ttl usr/lib/lv2/RakarrackPlus.lv2/compband_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/compband.ttl usr/lib/lv2/RakarrackPlus.lv2/Concrete_Stairwell.rvb usr/lib/lv2/RakarrackPlus.lv2/convolotron_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/convolotron.ttl usr/lib/lv2/RakarrackPlus.lv2/dere_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/dere.ttl usr/lib/lv2/RakarrackPlus.lv2/dist_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/dist.ttl usr/lib/lv2/RakarrackPlus.lv2/distband_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/distband.ttl usr/lib/lv2/RakarrackPlus.lv2/dual_flange_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/dual_flange.ttl usr/lib/lv2/RakarrackPlus.lv2/echo_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/echo.ttl usr/lib/lv2/RakarrackPlus.lv2/EchoFlange.dly usr/lib/lv2/RakarrackPlus.lv2/echotron_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/echotron.ttl usr/lib/lv2/RakarrackPlus.lv2/echoverse_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/echoverse.ttl usr/lib/lv2/RakarrackPlus.lv2/eql_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/eql.ttl usr/lib/lv2/RakarrackPlus.lv2/eqp_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/eqp.ttl usr/lib/lv2/RakarrackPlus.lv2/exciter_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/exciter.ttl usr/lib/lv2/RakarrackPlus.lv2/expander_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/expander.ttl usr/lib/lv2/RakarrackPlus.lv2/Fender_Superchamp.wav usr/lib/lv2/RakarrackPlus.lv2/Filtered_Echo.dly usr/lib/lv2/RakarrackPlus.lv2/Flange_plus_Echo.dly usr/lib/lv2/RakarrackPlus.lv2/flange_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/flange.ttl usr/lib/lv2/RakarrackPlus.lv2/gate_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/gate.ttl usr/lib/lv2/RakarrackPlus.lv2/Guitar_Ambience.rvb usr/lib/lv2/RakarrackPlus.lv2/Hall.rvb usr/lib/lv2/RakarrackPlus.lv2/harm_no_midi_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/harm_no_midi.ttl usr/lib/lv2/RakarrackPlus.lv2/harm.ttl usr/lib/lv2/RakarrackPlus.lv2/inf_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/inf.ttl usr/lib/lv2/RakarrackPlus.lv2/JCM2000.wav usr/lib/lv2/RakarrackPlus.lv2/Large_Hall.rvb usr/lib/lv2/RakarrackPlus.lv2/Large_Room.rvb usr/lib/lv2/RakarrackPlus.lv2/manifest.ttl usr/lib/lv2/RakarrackPlus.lv2/Marshall_JCM200.wav usr/lib/lv2/RakarrackPlus.lv2/Marshall_Plexi.wav usr/lib/lv2/RakarrackPlus.lv2/Marshall-2.wav usr/lib/lv2/RakarrackPlus.lv2/mdel_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/mdel.ttl usr/lib/lv2/RakarrackPlus.lv2/Med_Hall.rvb usr/lib/lv2/RakarrackPlus.lv2/Mesa_Boogie-2.wav usr/lib/lv2/RakarrackPlus.lv2/Mesa_Boogie.wav usr/lib/lv2/RakarrackPlus.lv2/midi_converter.ttl usr/lib/lv2/RakarrackPlus.lv2/Multi-Chorus.dly usr/lib/lv2/RakarrackPlus.lv2/mutromojo_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/mutromojo.ttl usr/lib/lv2/RakarrackPlus.lv2/Notch-Wah.dly usr/lib/lv2/RakarrackPlus.lv2/otrem_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/otrem.ttl usr/lib/lv2/RakarrackPlus.lv2/overdrive_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/overdrive.ttl usr/lib/lv2/RakarrackPlus.lv2/pan_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/pan.ttl usr/lib/lv2/RakarrackPlus.lv2/phas_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/phas.ttl usr/lib/lv2/RakarrackPlus.lv2/PingPong.dly usr/lib/lv2/RakarrackPlus.lv2/rakarrack-plus-lv2.so usr/lib/lv2/RakarrackPlus.lv2/ressol_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/ressol.ttl usr/lib/lv2/RakarrackPlus.lv2/reve_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/reve.ttl usr/lib/lv2/RakarrackPlus.lv2/reverbtron_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/reverbtron.ttl usr/lib/lv2/RakarrackPlus.lv2/ring_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/ring.ttl usr/lib/lv2/RakarrackPlus.lv2/Santa_Lucia.rvb usr/lib/lv2/RakarrackPlus.lv2/sequence_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/sequence.ttl usr/lib/lv2/RakarrackPlus.lv2/shelfboost_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/shelfboost.ttl usr/lib/lv2/RakarrackPlus.lv2/shifter_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/shifter.ttl usr/lib/lv2/RakarrackPlus.lv2/Short_Delays.dly usr/lib/lv2/RakarrackPlus.lv2/shuffle_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/shuffle.ttl usr/lib/lv2/RakarrackPlus.lv2/stereoharm_no_midi_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/stereoharm_no_midi.ttl usr/lib/lv2/RakarrackPlus.lv2/stereoharm.ttl usr/lib/lv2/RakarrackPlus.lv2/stomp_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/stomp.ttl usr/lib/lv2/RakarrackPlus.lv2/Studio.rvb usr/lib/lv2/RakarrackPlus.lv2/sustain_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/sustain.ttl usr/lib/lv2/RakarrackPlus.lv2/SwingPong.dly usr/lib/lv2/RakarrackPlus.lv2/synthfilter_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/synthfilter.ttl usr/lib/lv2/RakarrackPlus.lv2/Twilight.rvb usr/lib/lv2/RakarrackPlus.lv2/valve_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/valve.ttl usr/lib/lv2/RakarrackPlus.lv2/varyband_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/varyband.ttl usr/lib/lv2/RakarrackPlus.lv2/vibe_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/vibe.ttl usr/lib/lv2/RakarrackPlus.lv2/vocoder_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/vocoder.ttl usr/lib/lv2/RakarrackPlus.lv2/wha_presets.ttl usr/lib/lv2/RakarrackPlus.lv2/wha.ttl usr/share/ usr/share/applications/ usr/share/applications/rakarrack-plus.desktop usr/share/doc/ usr/share/doc/rakarrack-plus/ usr/share/doc/rakarrack-plus/AUTHORS usr/share/doc/rakarrack-plus/COPYING usr/share/doc/rakarrack-plus/html/ usr/share/doc/rakarrack-plus/html/aci.html usr/share/doc/rakarrack-plus/html/credits.html usr/share/doc/rakarrack-plus/html/css/ usr/share/doc/rakarrack-plus/html/css/kde-default.css usr/share/doc/rakarrack-plus/html/effects.html usr/share/doc/rakarrack-plus/html/extra.html usr/share/doc/rakarrack-plus/html/general.html usr/share/doc/rakarrack-plus/html/hardware.html usr/share/doc/rakarrack-plus/html/help.html usr/share/doc/rakarrack-plus/html/imagenes/ usr/share/doc/rakarrack-plus/html/imagenes/aci.jpg usr/share/doc/rakarrack-plus/html/imagenes/Aphaser.jpg usr/share/doc/rakarrack-plus/html/imagenes/arp.jpg usr/share/doc/rakarrack-plus/html/imagenes/aw.jpg usr/share/doc/rakarrack-plus/html/imagenes/bank_mgmt.jpg usr/share/doc/rakarrack-plus/html/imagenes/cabinet.jpg usr/share/doc/rakarrack-plus/html/imagenes/chorus.jpg usr/share/doc/rakarrack-plus/html/imagenes/coi.jpg usr/share/doc/rakarrack-plus/html/imagenes/compresor.jpg usr/share/doc/rakarrack-plus/html/imagenes/con.jpg usr/share/doc/rakarrack-plus/html/imagenes/cpb.jpg usr/share/doc/rakarrack-plus/html/imagenes/db.jpg usr/share/doc/rakarrack-plus/html/imagenes/delay.jpg usr/share/doc/rakarrack-plus/html/imagenes/derelict.jpg usr/share/doc/rakarrack-plus/html/imagenes/df.jpg usr/share/doc/rakarrack-plus/html/imagenes/dist.jpg usr/share/doc/rakarrack-plus/html/imagenes/dlyfile.png usr/share/doc/rakarrack-plus/html/imagenes/eco.jpg usr/share/doc/rakarrack-plus/html/imagenes/ect.jpg usr/share/doc/rakarrack-plus/html/imagenes/ecv.jpg usr/share/doc/rakarrack-plus/html/imagenes/eq.jpg usr/share/doc/rakarrack-plus/html/imagenes/ex.jpg usr/share/doc/rakarrack-plus/html/imagenes/exp.jpg usr/share/doc/rakarrack-plus/html/imagenes/flanger.jpg usr/share/doc/rakarrack-plus/html/imagenes/har.jpg usr/share/doc/rakarrack-plus/html/imagenes/Help_rakarrack-plus.png usr/share/doc/rakarrack-plus/html/imagenes/icono_rakarrack_128x128.png usr/share/doc/rakarrack-plus/html/imagenes/inf.jpg usr/share/doc/rakarrack-plus/html/imagenes/jack_conections.jpg usr/share/doc/rakarrack-plus/html/imagenes/loo.jpg usr/share/doc/rakarrack-plus/html/imagenes/md.jpg usr/share/doc/rakarrack-plus/html/imagenes/metro.jpg usr/share/doc/rakarrack-plus/html/imagenes/midi.jpg usr/share/doc/rakarrack-plus/html/imagenes/midilearn.jpg usr/share/doc/rakarrack-plus/html/imagenes/mut.jpg usr/share/doc/rakarrack-plus/html/imagenes/ng.jpg usr/share/doc/rakarrack-plus/html/imagenes/opt.jpg usr/share/doc/rakarrack-plus/html/imagenes/order.jpg usr/share/doc/rakarrack-plus/html/imagenes/ovrd.jpg usr/share/doc/rakarrack-plus/html/imagenes/pan.jpg usr/share/doc/rakarrack-plus/html/imagenes/peq.jpg usr/share/doc/rakarrack-plus/html/imagenes/phaser.jpg usr/share/doc/rakarrack-plus/html/imagenes/preset_name1.png usr/share/doc/rakarrack-plus/html/imagenes/ressolution.jpg usr/share/doc/rakarrack-plus/html/imagenes/reverb.jpg usr/share/doc/rakarrack-plus/html/imagenes/ring.jpg usr/share/doc/rakarrack-plus/html/imagenes/rvt.jpg usr/share/doc/rakarrack-plus/html/imagenes/schema1b.jpg usr/share/doc/rakarrack-plus/html/imagenes/schema2b.jpg usr/share/doc/rakarrack-plus/html/imagenes/screen.png usr/share/doc/rakarrack-plus/html/imagenes/seq.jpg usr/share/doc/rakarrack-plus/html/imagenes/settings.jpg usr/share/doc/rakarrack-plus/html/imagenes/settings1.jpg usr/share/doc/rakarrack-plus/html/imagenes/settings2.jpg usr/share/doc/rakarrack-plus/html/imagenes/settings3.jpg usr/share/doc/rakarrack-plus/html/imagenes/settings4.jpg usr/share/doc/rakarrack-plus/html/imagenes/settings5.jpg usr/share/doc/rakarrack-plus/html/imagenes/settings6.jpg usr/share/doc/rakarrack-plus/html/imagenes/she.jpg usr/share/doc/rakarrack-plus/html/imagenes/shi.jpg usr/share/doc/rakarrack-plus/html/imagenes/shu.jpg usr/share/doc/rakarrack-plus/html/imagenes/sth.jpg usr/share/doc/rakarrack-plus/html/imagenes/sto.jpg usr/share/doc/rakarrack-plus/html/imagenes/sus.jpg usr/share/doc/rakarrack-plus/html/imagenes/syn.jpg usr/share/doc/rakarrack-plus/html/imagenes/tap.jpg usr/share/doc/rakarrack-plus/html/imagenes/thema1b.jpg usr/share/doc/rakarrack-plus/html/imagenes/thema2b.jpg usr/share/doc/rakarrack-plus/html/imagenes/thema3b.jpg usr/share/doc/rakarrack-plus/html/imagenes/tuner1.png usr/share/doc/rakarrack-plus/html/imagenes/val.jpg usr/share/doc/rakarrack-plus/html/imagenes/var.jpg usr/share/doc/rakarrack-plus/html/imagenes/vib.jpg usr/share/doc/rakarrack-plus/html/imagenes/voc.jpg usr/share/doc/rakarrack-plus/html/imagenes/ww.jpg usr/share/doc/rakarrack-plus/html/license.html usr/share/doc/rakarrack-plus/html/metronome.html usr/share/doc/rakarrack-plus/html/midiconverter.html usr/share/doc/rakarrack-plus/html/midiic.html usr/share/doc/rakarrack-plus/html/midilearn.html usr/share/doc/rakarrack-plus/html/presetlist.html usr/share/doc/rakarrack-plus/html/taptempo.html usr/share/doc/rakarrack-plus/html/tuner.html usr/share/doc/rakarrack-plus/README usr/share/doc/rakarrack-plus/README.md usr/share/man/ usr/share/man/man1/ usr/share/man/man1/rakarrack-plus.1.gz usr/share/pixmaps/ usr/share/pixmaps/icono_rakarrack-plus_128x128.png usr/share/pixmaps/icono_rakarrack-plus_32x32.png usr/share/pixmaps/icono_rakarrack-plus_64x64.png usr/share/pixmaps/rakarrack-plus.svg usr/share/rakarrack-plus/ usr/share/rakarrack-plus/1.dly usr/share/rakarrack-plus/1.rvb usr/share/rakarrack-plus/1.wav usr/share/rakarrack-plus/10.dly usr/share/rakarrack-plus/10.rvb usr/share/rakarrack-plus/11.dly usr/share/rakarrack-plus/2.dly usr/share/rakarrack-plus/2.rvb usr/share/rakarrack-plus/2.wav usr/share/rakarrack-plus/3.dly usr/share/rakarrack-plus/3.rvb usr/share/rakarrack-plus/3.wav usr/share/rakarrack-plus/4.dly usr/share/rakarrack-plus/4.rvb usr/share/rakarrack-plus/4.wav usr/share/rakarrack-plus/5.dly usr/share/rakarrack-plus/5.rvb usr/share/rakarrack-plus/5.wav usr/share/rakarrack-plus/6.dly usr/share/rakarrack-plus/6.rvb usr/share/rakarrack-plus/6.wav usr/share/rakarrack-plus/7.dly usr/share/rakarrack-plus/7.rvb usr/share/rakarrack-plus/7.wav usr/share/rakarrack-plus/8.dly usr/share/rakarrack-plus/8.rvb usr/share/rakarrack-plus/8.wav usr/share/rakarrack-plus/9.dly usr/share/rakarrack-plus/9.rvb usr/share/rakarrack-plus/9.wav usr/share/rakarrack-plus/bg_gray_furr.png usr/share/rakarrack-plus/bg.png usr/share/rakarrack-plus/bg1.png usr/share/rakarrack-plus/bg2.png usr/share/rakarrack-plus/bg3.png usr/share/rakarrack-plus/bg4.png usr/share/rakarrack-plus/bg5.png usr/share/rakarrack-plus/bg6.png usr/share/rakarrack-plus/blackbg.png usr/share/rakarrack-plus/Default.rkrb usr/share/rakarrack-plus/Extra.rkrb usr/share/rakarrack-plus/Extra1.rkrb usr/share/rakarrack-plus/InsertPresets.rkis usr/share/rakarrack-plus/SFX_CC_03.rkrb usr/share/rakarrack-plus/SFX_CC_04.rkrb usr/share/rakarrack-plus/SFX_CC_05.rkrb usr/share/rakarrack-plus/SFX_CC_06.rkrb usr/share/rakarrack-plus/SFX_CC_07.rkrb usr/share/rakarrack-plus/SFX_CC_08.rkrb usr/share/rakarrack-plus/SFX_CC_09.rkrb usr/share/RakarrackPlus.lv2/ usr/share/RakarrackPlus.lv2/12 Strings Crazy.carxp usr/share/RakarrackPlus.lv2/12 Strings Down.carxp usr/share/RakarrackPlus.lv2/12 Strings.carxp usr/share/RakarrackPlus.lv2/90-Shifter.dly usr/share/RakarrackPlus.lv2/Acoustic Bright.carxp usr/share/RakarrackPlus.lv2/Acoustic Chorus.carxp usr/share/RakarrackPlus.lv2/Acoustic Sparkle.carxp usr/share/RakarrackPlus.lv2/Acoustic.carxp usr/share/RakarrackPlus.lv2/All is Lost.carxp usr/share/RakarrackPlus.lv2/Ambience.carxp usr/share/RakarrackPlus.lv2/Ambient Flange.carxp usr/share/RakarrackPlus.lv2/Amp.carxp usr/share/RakarrackPlus.lv2/Ampeg.wav usr/share/RakarrackPlus.lv2/Angel's Chorus.carxp usr/share/RakarrackPlus.lv2/Arppeg Sprinkler.carxp usr/share/RakarrackPlus.lv2/Aural Phaser.carxp usr/share/RakarrackPlus.lv2/Auto Wah 2.carxp usr/share/RakarrackPlus.lv2/Auto Wah.carxp usr/share/RakarrackPlus.lv2/AutoYa.carxp usr/share/RakarrackPlus.lv2/AutoYiYa.carxp usr/share/RakarrackPlus.lv2/Aymimadre.carxp usr/share/RakarrackPlus.lv2/Backward Tumble.carxp usr/share/RakarrackPlus.lv2/Ballada Duet Gm *.carxp usr/share/RakarrackPlus.lv2/Ballada Solo.carxp usr/share/RakarrackPlus.lv2/Basic_LR_Delay.dly usr/share/RakarrackPlus.lv2/Bass.carxp usr/share/RakarrackPlus.lv2/Bassman.wav usr/share/RakarrackPlus.lv2/Big Stack.carxp usr/share/RakarrackPlus.lv2/BlowMoeJo.carxp usr/share/RakarrackPlus.lv2/Bright Size.carxp usr/share/RakarrackPlus.lv2/C Melody.carxp usr/share/RakarrackPlus.lv2/Cathedral Swell.carxp usr/share/RakarrackPlus.lv2/Chamber.rvb usr/share/RakarrackPlus.lv2/Charred Violets.carxp usr/share/RakarrackPlus.lv2/Chinese Lead.carxp usr/share/RakarrackPlus.lv2/Chorus Riff.carxp usr/share/RakarrackPlus.lv2/Circle Tremolo.carxp usr/share/RakarrackPlus.lv2/Classic Chorus.carxp usr/share/RakarrackPlus.lv2/Classic WF.carxp usr/share/RakarrackPlus.lv2/Clean Smack Back.carxp usr/share/RakarrackPlus.lv2/Clean Swirl.carxp usr/share/RakarrackPlus.lv2/Clean Tremolo.carxp usr/share/RakarrackPlus.lv2/Clean Twirl.carxp usr/share/RakarrackPlus.lv2/Clean-2.carxp usr/share/RakarrackPlus.lv2/Clean-A-Duet *.carxp usr/share/RakarrackPlus.lv2/CleanChord.carxp usr/share/RakarrackPlus.lv2/Comb.dly usr/share/RakarrackPlus.lv2/Comphaser.carxp usr/share/RakarrackPlus.lv2/Concrete_Stairwell.rvb usr/share/RakarrackPlus.lv2/CrunchPhaser.carxp usr/share/RakarrackPlus.lv2/D Flange.carxp usr/share/RakarrackPlus.lv2/Dark Alley.carxp usr/share/RakarrackPlus.lv2/Delayed Chorus.carxp usr/share/RakarrackPlus.lv2/Dig For Shift.carxp usr/share/RakarrackPlus.lv2/Diode Overdose.carxp usr/share/RakarrackPlus.lv2/Dirty Junk.carxp usr/share/RakarrackPlus.lv2/Dist Dead.carxp usr/share/RakarrackPlus.lv2/Dist-4.carxp usr/share/RakarrackPlus.lv2/Dist-Duet-A7 *.carxp usr/share/RakarrackPlus.lv2/Dist-WahWah-1.carxp usr/share/RakarrackPlus.lv2/Double Tremolo.carxp usr/share/RakarrackPlus.lv2/Dreamland.carxp usr/share/RakarrackPlus.lv2/EboWeboAmiYeebo.carxp usr/share/RakarrackPlus.lv2/EchoFlange.dly usr/share/RakarrackPlus.lv2/EchoPhase.carxp usr/share/RakarrackPlus.lv2/Edgy.carxp usr/share/RakarrackPlus.lv2/Envelope YaYa.carxp usr/share/RakarrackPlus.lv2/Extreme.carxp usr/share/RakarrackPlus.lv2/Falling in Fantasy.carxp usr/share/RakarrackPlus.lv2/Fender_Superchamp.wav usr/share/RakarrackPlus.lv2/Filtered_Echo.dly usr/share/RakarrackPlus.lv2/Flange_plus_Echo.dly usr/share/RakarrackPlus.lv2/Floyd Trip.carxp usr/share/RakarrackPlus.lv2/Funk Wah.carxp usr/share/RakarrackPlus.lv2/Funk-1.carxp usr/share/RakarrackPlus.lv2/Gary Loaded.carxp usr/share/RakarrackPlus.lv2/Gary Moded.carxp usr/share/RakarrackPlus.lv2/Gary Mooore.carxp usr/share/RakarrackPlus.lv2/GibSoonMur.carxp usr/share/RakarrackPlus.lv2/GibSoonMurder.carxp usr/share/RakarrackPlus.lv2/GibSoonMurDouble.carxp usr/share/RakarrackPlus.lv2/Go with Him.carxp usr/share/RakarrackPlus.lv2/Goin through a phase.carxp usr/share/RakarrackPlus.lv2/Goog Bye.carxp usr/share/RakarrackPlus.lv2/Guitar_Ambience.rvb usr/share/RakarrackPlus.lv2/Hall.rvb usr/share/RakarrackPlus.lv2/Hard Rock Amp.carxp usr/share/RakarrackPlus.lv2/Heaven Garden.carxp usr/share/RakarrackPlus.lv2/Helicopter.carxp usr/share/RakarrackPlus.lv2/Hendrix.carxp usr/share/RakarrackPlus.lv2/Ignited.carxp usr/share/RakarrackPlus.lv2/Igniter.carxp usr/share/RakarrackPlus.lv2/Insanity Mojo.carxp usr/share/RakarrackPlus.lv2/Jazz Bright Chorus.carxp usr/share/RakarrackPlus.lv2/Jazz Hall.carxp usr/share/RakarrackPlus.lv2/Jazz Vibrato.carxp usr/share/RakarrackPlus.lv2/JCM2000.wav usr/share/RakarrackPlus.lv2/Jet Flanger.carxp usr/share/RakarrackPlus.lv2/JimiVibe.carxp usr/share/RakarrackPlus.lv2/JimiVibe2.carxp usr/share/RakarrackPlus.lv2/Joe.carxp usr/share/RakarrackPlus.lv2/JoeMoeJo.carxp usr/share/RakarrackPlus.lv2/JZ Power.carxp usr/share/RakarrackPlus.lv2/Large_Hall.rvb usr/share/RakarrackPlus.lv2/Large_Room.rvb usr/share/RakarrackPlus.lv2/Like Pat.carxp usr/share/RakarrackPlus.lv2/Lil Blue.carxp usr/share/RakarrackPlus.lv2/Lil Dirty.carxp usr/share/RakarrackPlus.lv2/Live Punk Show.carxp usr/share/RakarrackPlus.lv2/Marshall_JCM200.wav usr/share/RakarrackPlus.lv2/Marshall_Plexi.wav usr/share/RakarrackPlus.lv2/Marshall-2.wav usr/share/RakarrackPlus.lv2/Med_Hall.rvb usr/share/RakarrackPlus.lv2/Melon Collie II.carxp usr/share/RakarrackPlus.lv2/Melon Collie.carxp usr/share/RakarrackPlus.lv2/Mesa_Boogie-2.wav usr/share/RakarrackPlus.lv2/Mesa_Boogie.wav usr/share/RakarrackPlus.lv2/Metal Amp.carxp usr/share/RakarrackPlus.lv2/Metal Tone.carxp usr/share/RakarrackPlus.lv2/Metal.carxp usr/share/RakarrackPlus.lv2/MetalAmploaded.carxp usr/share/RakarrackPlus.lv2/MetalAmpOverloaded.carxp usr/share/RakarrackPlus.lv2/MetalAmpReloaded.carxp usr/share/RakarrackPlus.lv2/Mild Clean.carxp usr/share/RakarrackPlus.lv2/Mono Arpeg.carxp usr/share/RakarrackPlus.lv2/Multi-Chorus.dly usr/share/RakarrackPlus.lv2/Multichorus.carxp usr/share/RakarrackPlus.lv2/Music.carxp usr/share/RakarrackPlus.lv2/Mutation.carxp usr/share/RakarrackPlus.lv2/Naranja One.carxp usr/share/RakarrackPlus.lv2/Nasty.carxp usr/share/RakarrackPlus.lv2/Noise Synth.carxp usr/share/RakarrackPlus.lv2/Nostalgia.carxp usr/share/RakarrackPlus.lv2/Notch-Wah.dly usr/share/RakarrackPlus.lv2/Octaflange - Dirty.carxp usr/share/RakarrackPlus.lv2/Octaflange.carxp usr/share/RakarrackPlus.lv2/OctaVibe - Dirty Echo.carxp usr/share/RakarrackPlus.lv2/Old Pat.carxp usr/share/RakarrackPlus.lv2/Old Tavern.carxp usr/share/RakarrackPlus.lv2/Overdrive.carxp usr/share/RakarrackPlus.lv2/Oxygen.carxp usr/share/RakarrackPlus.lv2/Oxygenated.carxp usr/share/RakarrackPlus.lv2/Padpeg.carxp usr/share/RakarrackPlus.lv2/Panalog Phaser.carxp usr/share/RakarrackPlus.lv2/Phasetron.carxp usr/share/RakarrackPlus.lv2/PingPong.dly usr/share/RakarrackPlus.lv2/Pitch Vibrato.carxp usr/share/RakarrackPlus.lv2/PongChor.carxp usr/share/RakarrackPlus.lv2/Quasi Acoustic.carxp usr/share/RakarrackPlus.lv2/Rain Echo.carxp usr/share/RakarrackPlus.lv2/Random Eyes.carxp usr/share/RakarrackPlus.lv2/RandYa.carxp usr/share/RakarrackPlus.lv2/Reverse delay ambience.carxp usr/share/RakarrackPlus.lv2/Rhythm.carxp usr/share/RakarrackPlus.lv2/Rhythmic Vibes.carxp usr/share/RakarrackPlus.lv2/Rock Amp.carxp usr/share/RakarrackPlus.lv2/Rock Band 2.carxp usr/share/RakarrackPlus.lv2/Rock Band.carxp usr/share/RakarrackPlus.lv2/Rodent Airlines.carxp usr/share/RakarrackPlus.lv2/Rolling Echo.carxp usr/share/RakarrackPlus.lv2/Santa_Lucia.rvb usr/share/RakarrackPlus.lv2/Satriani 2.carxp usr/share/RakarrackPlus.lv2/Satriani.carxp usr/share/RakarrackPlus.lv2/SeqDist.carxp usr/share/RakarrackPlus.lv2/Shall.carxp usr/share/RakarrackPlus.lv2/Shall2.carxp usr/share/RakarrackPlus.lv2/Short_Delays.dly usr/share/RakarrackPlus.lv2/Slap Echo.carxp usr/share/RakarrackPlus.lv2/Soft Dist.carxp usr/share/RakarrackPlus.lv2/Soft Stereo.carxp usr/share/RakarrackPlus.lv2/Sounds and-a-log.carxp usr/share/RakarrackPlus.lv2/Sounds on Sound.carxp usr/share/RakarrackPlus.lv2/Space Invasion.carxp usr/share/RakarrackPlus.lv2/Spandex.carxp usr/share/RakarrackPlus.lv2/StartButton.carxp usr/share/RakarrackPlus.lv2/Stereo Ecstasy.carxp usr/share/RakarrackPlus.lv2/Stereo Tremolo.carxp usr/share/RakarrackPlus.lv2/Stereo Vibrato.carxp usr/share/RakarrackPlus.lv2/Stereo.carxp usr/share/RakarrackPlus.lv2/Steve Dist 2.carxp usr/share/RakarrackPlus.lv2/Steve Distortion.carxp usr/share/RakarrackPlus.lv2/Studio.rvb usr/share/RakarrackPlus.lv2/SubOctave.carxp usr/share/RakarrackPlus.lv2/Succulent Clean.carxp usr/share/RakarrackPlus.lv2/Suction Flange +dist.carxp usr/share/RakarrackPlus.lv2/Suction II.carxp usr/share/RakarrackPlus.lv2/Suction.carxp usr/share/RakarrackPlus.lv2/Summer at the Beach.carxp usr/share/RakarrackPlus.lv2/Summer at the Pit.carxp usr/share/RakarrackPlus.lv2/Summer at the Pool.carxp usr/share/RakarrackPlus.lv2/Surf's Up.carxp usr/share/RakarrackPlus.lv2/SwingPong.dly usr/share/RakarrackPlus.lv2/Swirly.carxp usr/share/RakarrackPlus.lv2/Talk to me.carxp usr/share/RakarrackPlus.lv2/Talk-Clean.carxp usr/share/RakarrackPlus.lv2/The Trooper.carxp usr/share/RakarrackPlus.lv2/Tight Rock.carxp usr/share/RakarrackPlus.lv2/Trash Chorus.carxp usr/share/RakarrackPlus.lv2/TriadEchoes.carxp usr/share/RakarrackPlus.lv2/Tricky Dick.carxp usr/share/RakarrackPlus.lv2/Trigger Chorus.carxp usr/share/RakarrackPlus.lv2/Trippy Harmo.carxp usr/share/RakarrackPlus.lv2/True Heavy-3.carxp usr/share/RakarrackPlus.lv2/Twilight.rvb usr/share/RakarrackPlus.lv2/Underwater I.carxp usr/share/RakarrackPlus.lv2/Violin Distortion.carxp usr/share/RakarrackPlus.lv2/Violin.carxp usr/share/RakarrackPlus.lv2/VocoHarm.carxp usr/share/RakarrackPlus.lv2/Voy Pa Alla.carxp usr/share/RakarrackPlus.lv2/Warm Chorus.carxp
aarch64ratatouille.lv20.9.1-2A guitar amp neural model and impulse response loader and mixer LV2 plugin
Close

ratatouille.lv2 0.9.1-2


Architecture:aarch64
Base Package:ratatouille.lv2 (PKGBUILD)
Description:A guitar amp neural model and impulse response loader and mixer LV2 plugin
Upstream URL:https://github.com/brummer10/Ratatouille.lv2
Groups:lv2-plugins
pro-audio
Download Size:746.2 kB (Download)
Installed Size:1.3 MB
License:BSD-3-Clause
Build Date:2024-09-26 05:12 UTC
Dependencies:cairo
fftw
gcc-libs
glibc
libsndfile
libx11
lv2-host: for loading the LV2 plugin (optional)
lv2 (make)
xxd (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/Ratatouille.lv2/ usr/lib/lv2/Ratatouille.lv2/manifest.ttl usr/lib/lv2/Ratatouille.lv2/Ratatouille_ui.so usr/lib/lv2/Ratatouille.lv2/Ratatouille.so usr/lib/lv2/Ratatouille.lv2/Ratatouille.ttl usr/share/ usr/share/doc/ usr/share/doc/ratatouille.lv2/ usr/share/doc/ratatouille.lv2/Ratatouille.png usr/share/doc/ratatouille.lv2/README.md usr/share/licenses/ usr/share/licenses/ratatouille.lv2/ usr/share/licenses/ratatouille.lv2/LICENSE
x86_64ratatouille.lv20.9.1-2A guitar amp neural model and impulse response loader and mixer LV2 plugin
Close

ratatouille.lv2 0.9.1-2


Architecture:x86_64
Base Package:ratatouille.lv2 (PKGBUILD)
Description:A guitar amp neural model and impulse response loader and mixer LV2 plugin
Upstream URL:https://github.com/brummer10/Ratatouille.lv2
Groups:lv2-plugins
pro-audio
Download Size:796.0 kB (Download)
Installed Size:1.3 MB
License:BSD-3-Clause
Build Date:2024-09-26 05:12 UTC
Dependencies:cairo
fftw
gcc-libs
glibc
libsndfile
libx11
lv2-host: for loading the LV2 plugin (optional)
lv2 (make)
xxd (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/Ratatouille.lv2/ usr/lib/lv2/Ratatouille.lv2/manifest.ttl usr/lib/lv2/Ratatouille.lv2/Ratatouille_ui.so usr/lib/lv2/Ratatouille.lv2/Ratatouille.so usr/lib/lv2/Ratatouille.lv2/Ratatouille.ttl usr/share/ usr/share/doc/ usr/share/doc/ratatouille.lv2/ usr/share/doc/ratatouille.lv2/Ratatouille.png usr/share/doc/ratatouille.lv2/README.md usr/share/licenses/ usr/share/licenses/ratatouille.lv2/ usr/share/licenses/ratatouille.lv2/LICENSE
anyraysession0.14.3-2Session manager for audio programs using the Non Session Manager (NSM) API
Close

raysession 0.14.3-2


Architecture:any
Base Package:raysession (PKGBUILD)
Description:Session manager for audio programs using the Non Session Manager (NSM) API
Upstream URL:https://github.com/Houston4444/RaySession
Groups:pro-audio
Download Size:7.9 MB (Download)
Installed Size:31.7 MB
License:GPL
Build Date:2024-05-01 23:02 UTC
Dependencies:dbus-python
hicolor-icon-theme
python-pyalsa
python-pyliblo
python-pyqt5
python-pyxdg
qt5-svg
python-gobject: additional JACK helper scripts (optional)
python-pyjacklib: additional JACK helper scripts (optional)
qt5-tools (make)
Package Contents
etc/ etc/xdg/ etc/xdg/raysession/ etc/xdg/raysession/client_templates/ etc/xdg/raysession/client_templates/40_ray_nsm/ etc/xdg/raysession/client_templates/40_ray_nsm/client_templates.xml etc/xdg/raysession/client_templates/60_ray_lash/ etc/xdg/raysession/client_templates/60_ray_lash/CalfJACKHost/ etc/xdg/raysession/client_templates/60_ray_lash/CalfJACKHost/XXX_SESSION_NAME_XXX.XXX_CLIENT_ID_XXX/ etc/xdg/raysession/client_templates/60_ray_lash/CalfJACKHost/XXX_SESSION_NAME_XXX.XXX_CLIENT_ID_XXX/XXX_SESSION_NAME_XXX.calf etc/xdg/raysession/client_templates/60_ray_lash/client_templates.xml etc/xdg/raysession/client_templates/60_ray_lash/QMidiRoute/ etc/xdg/raysession/client_templates/60_ray_lash/QMidiRoute/XXX_SESSION_NAME_XXX.XXX_CLIENT_ID_XXX/ etc/xdg/raysession/client_templates/60_ray_lash/QMidiRoute/XXX_SESSION_NAME_XXX.XXX_CLIENT_ID_XXX/XXX_SESSION_NAME_XXX.qmr etc/xdg/raysession/client_templates/60_ray_lash/Qsampler/ etc/xdg/raysession/client_templates/60_ray_lash/Qsampler/XXX_SESSION_NAME_XXX.XXX_CLIENT_ID_XXX/ etc/xdg/raysession/client_templates/60_ray_lash/Qsampler/XXX_SESSION_NAME_XXX.XXX_CLIENT_ID_XXX/XXX_SESSION_NAME_XXX.lscp etc/xdg/raysession/client_templates/60_ray_lash/Rakarrack-plus/ etc/xdg/raysession/client_templates/60_ray_lash/Rakarrack-plus/XXX_SESSION_NAME_XXX.XXX_CLIENT_ID_XXX/ etc/xdg/raysession/client_templates/60_ray_lash/Rakarrack-plus/XXX_SESSION_NAME_XXX.XXX_CLIENT_ID_XXX/XXX_SESSION_NAME_XXX.rkr etc/xdg/raysession/client_templates/60_ray_lash/Rosegarden/ etc/xdg/raysession/client_templates/60_ray_lash/Rosegarden/XXX_SESSION_NAME_XXX.XXX_CLIENT_ID_XXX/ etc/xdg/raysession/client_templates/60_ray_lash/Rosegarden/XXX_SESSION_NAME_XXX.XXX_CLIENT_ID_XXX/XXX_SESSION_NAME_XXX.rg etc/xdg/raysession/client_templates/60_ray_lash/Seq24/ etc/xdg/raysession/client_templates/60_ray_lash/Seq24/XXX_SESSION_NAME_XXX.XXX_CLIENT_ID_XXX/ etc/xdg/raysession/client_templates/60_ray_lash/Seq24/XXX_SESSION_NAME_XXX.XXX_CLIENT_ID_XXX/XXX_SESSION_NAME_XXX.midi etc/xdg/raysession/client_templates/60_ray_lash/Sequencer64/ etc/xdg/raysession/client_templates/60_ray_lash/Sequencer64/XXX_SESSION_NAME_XXX.XXX_CLIENT_ID_XXX/ etc/xdg/raysession/client_templates/60_ray_lash/Sequencer64/XXX_SESSION_NAME_XXX.XXX_CLIENT_ID_XXX/XXX_SESSION_NAME_XXX.midi usr/ usr/bin/ usr/bin/ray_control usr/bin/ray_git usr/bin/ray-daemon usr/bin/ray-jack_checker_daemon usr/bin/ray-jack_config_script usr/bin/ray-proxy usr/bin/ray-pulse2jack usr/bin/raysession usr/share/ usr/share/applications/ usr/share/applications/ray-alsapatch.desktop usr/share/applications/ray-jack_checker.desktop usr/share/applications/ray-jackpatch.desktop usr/share/applications/ray-network.desktop usr/share/applications/raysession.desktop usr/share/doc/ usr/share/doc/raysession/ usr/share/doc/raysession/CHANGELOG usr/share/doc/raysession/plans.md usr/share/doc/raysession/README.md usr/share/doc/raysession/TODO usr/share/doc/raysession/TRANSLATORS usr/share/icons/ usr/share/icons/hicolor/ usr/share/icons/hicolor/128x128/ usr/share/icons/hicolor/128x128/apps/ usr/share/icons/hicolor/128x128/apps/raysession.png usr/share/icons/hicolor/16x16/ usr/share/icons/hicolor/16x16/apps/ usr/share/icons/hicolor/16x16/apps/raysession.png usr/share/icons/hicolor/24x24/ usr/share/icons/hicolor/24x24/apps/ usr/share/icons/hicolor/24x24/apps/raysession.png usr/share/icons/hicolor/256x256/ usr/share/icons/hicolor/256x256/apps/ usr/share/icons/hicolor/256x256/apps/raysession.png usr/share/icons/hicolor/32x32/ usr/share/icons/hicolor/32x32/apps/ usr/share/icons/hicolor/32x32/apps/raysession.png usr/share/icons/hicolor/48x48/ usr/share/icons/hicolor/48x48/apps/ usr/share/icons/hicolor/48x48/apps/raysession.png usr/share/icons/hicolor/64x64/ usr/share/icons/hicolor/64x64/apps/ usr/share/icons/hicolor/64x64/apps/raysession.png usr/share/icons/hicolor/96x96/ usr/share/icons/hicolor/96x96/apps/ usr/share/icons/hicolor/96x96/apps/raysession.png usr/share/icons/hicolor/scalable/ usr/share/icons/hicolor/scalable/apps/ usr/share/icons/hicolor/scalable/apps/raysession.svg usr/share/raysession/ usr/share/raysession/data/ usr/share/raysession/data/ray_control usr/share/raysession/data/ray-daemon usr/share/raysession/data/ray-proxy usr/share/raysession/data/raysession usr/share/raysession/data/share/ usr/share/raysession/data/share/applications/ usr/share/raysession/data/share/applications/ray-alsapatch.desktop usr/share/raysession/data/share/applications/ray-jack_checker.desktop usr/share/raysession/data/share/applications/ray-jackpatch.desktop usr/share/raysession/data/share/applications/ray-network.desktop usr/share/raysession/data/share/applications/raysession.desktop usr/share/raysession/HoustonPatchbay/ usr/share/raysession/HoustonPatchbay/locale/ usr/share/raysession/HoustonPatchbay/locale/patchbay_en.qm usr/share/raysession/HoustonPatchbay/locale/patchbay_fr.qm usr/share/raysession/HoustonPatchbay/manual/ usr/share/raysession/HoustonPatchbay/manual/en/ usr/share/raysession/HoustonPatchbay/manual/en/images/ usr/share/raysession/HoustonPatchbay/manual/en/images/.directory usr/share/raysession/HoustonPatchbay/manual/en/images/example_patchbay.png usr/share/raysession/HoustonPatchbay/manual/en/images/flags/ usr/share/raysession/HoustonPatchbay/manual/en/images/flags/de.jpeg usr/share/raysession/HoustonPatchbay/manual/en/images/flags/en.jpeg usr/share/raysession/HoustonPatchbay/manual/en/images/flags/fr.jpeg usr/share/raysession/HoustonPatchbay/manual/en/images/patchance.svg usr/share/raysession/HoustonPatchbay/manual/en/images/patchbay_a2j.png usr/share/raysession/HoustonPatchbay/manual/en/images/patchbay_base.png usr/share/raysession/HoustonPatchbay/manual/en/images/patchbay_CV.png usr/share/raysession/HoustonPatchbay/manual/en/images/patchbay_start.png usr/share/raysession/HoustonPatchbay/manual/en/images/patchichi.svg usr/share/raysession/HoustonPatchbay/manual/en/images/raysession.svg usr/share/raysession/HoustonPatchbay/manual/en/images/video/ usr/share/raysession/HoustonPatchbay/manual/en/images/video/fast_new_plugin.mp4 usr/share/raysession/HoustonPatchbay/manual/en/images/video/patchbay_fast_cut_paste.mp4 usr/share/raysession/HoustonPatchbay/manual/en/images/video/patchbay_rafal_connections.mp4 usr/share/raysession/HoustonPatchbay/manual/en/images/video/patchbay_simple_connect.mp4 usr/share/raysession/HoustonPatchbay/manual/en/manual.adoc usr/share/raysession/HoustonPatchbay/manual/en/manual.html usr/share/raysession/HoustonPatchbay/manual/en/patchbay_manual.css usr/share/raysession/HoustonPatchbay/manual/en/theme_edit.adoc usr/share/raysession/HoustonPatchbay/manual/en/theme_edit.html usr/share/raysession/HoustonPatchbay/manual/fr/ usr/share/raysession/HoustonPatchbay/manual/fr/.directory usr/share/raysession/HoustonPatchbay/manual/fr/manual.adoc usr/share/raysession/HoustonPatchbay/manual/fr/manual.html usr/share/raysession/HoustonPatchbay/manual/fr/theme_edit.html usr/share/raysession/HoustonPatchbay/manual/html_all.sh usr/share/raysession/HoustonPatchbay/themes/ usr/share/raysession/HoustonPatchbay/themes/.directory usr/share/raysession/HoustonPatchbay/themes/Black Gold/ usr/share/raysession/HoustonPatchbay/themes/Black Gold/theme.conf usr/share/raysession/HoustonPatchbay/themes/Dark Boards/ usr/share/raysession/HoustonPatchbay/themes/Dark Boards/fonts usr/share/raysession/HoustonPatchbay/themes/Dark Boards/icons usr/share/raysession/HoustonPatchbay/themes/Dark Boards/images usr/share/raysession/HoustonPatchbay/themes/Dark Boards/theme.conf usr/share/raysession/HoustonPatchbay/themes/Dark Purples/ usr/share/raysession/HoustonPatchbay/themes/Dark Purples/theme.conf usr/share/raysession/HoustonPatchbay/themes/Gray Sand/ usr/share/raysession/HoustonPatchbay/themes/Gray Sand/doc_theme usr/share/raysession/HoustonPatchbay/themes/Gray Sand/images/ usr/share/raysession/HoustonPatchbay/themes/Gray Sand/images/totalherbe_gray.png usr/share/raysession/HoustonPatchbay/themes/Gray Sand/theme.conf usr/share/raysession/HoustonPatchbay/themes/Light Blue Rose/ usr/share/raysession/HoustonPatchbay/themes/Light Blue Rose/icons/ usr/share/raysession/HoustonPatchbay/themes/Light Blue Rose/icons/hardware_capture.svg usr/share/raysession/HoustonPatchbay/themes/Light Blue Rose/icons/hardware_grouped.svg usr/share/raysession/HoustonPatchbay/themes/Light Blue Rose/icons/hardware_midi.svg usr/share/raysession/HoustonPatchbay/themes/Light Blue Rose/icons/hardware_playback.svg usr/share/raysession/HoustonPatchbay/themes/Light Blue Rose/icons/monitor_capture.svg usr/share/raysession/HoustonPatchbay/themes/Light Blue Rose/icons/monitor_playback.svg usr/share/raysession/HoustonPatchbay/themes/Light Blue Rose/theme.conf usr/share/raysession/HoustonPatchbay/themes/Modern Dark/ usr/share/raysession/HoustonPatchbay/themes/Modern Dark/theme.conf usr/share/raysession/HoustonPatchbay/themes/Silver Gold/ usr/share/raysession/HoustonPatchbay/themes/Silver Gold/theme.conf usr/share/raysession/HoustonPatchbay/themes/War/ usr/share/raysession/HoustonPatchbay/themes/War/theme.conf usr/share/raysession/HoustonPatchbay/themes/Yellow Boards/ usr/share/raysession/HoustonPatchbay/themes/Yellow Boards/fonts/ usr/share/raysession/HoustonPatchbay/themes/Yellow Boards/fonts/Roboto-Black.ttf usr/share/raysession/HoustonPatchbay/themes/Yellow Boards/fonts/Roboto-Regular.ttf usr/share/raysession/HoustonPatchbay/themes/Yellow Boards/fonts/RobotoCondensed-Medium.ttf usr/share/raysession/HoustonPatchbay/themes/Yellow Boards/icons/ usr/share/raysession/HoustonPatchbay/themes/Yellow Boards/icons/monitor_capture.svg usr/share/raysession/HoustonPatchbay/themes/Yellow Boards/icons/monitor_playback.svg usr/share/raysession/HoustonPatchbay/themes/Yellow Boards/images/ usr/share/raysession/HoustonPatchbay/themes/Yellow Boards/images/background.jpg usr/share/raysession/HoustonPatchbay/themes/Yellow Boards/theme.conf usr/share/raysession/locale/ usr/share/raysession/locale/raysession_en.qm usr/share/raysession/locale/raysession_fr.qm usr/share/raysession/manual/ usr/share/raysession/manual/.directory usr/share/raysession/manual/patchbay usr/share/raysession/manual/raysession/ usr/share/raysession/manual/raysession/adoc_all.sh usr/share/raysession/manual/raysession/de/ usr/share/raysession/manual/raysession/de/.directory usr/share/raysession/manual/raysession/de/manual.adoc usr/share/raysession/manual/raysession/de/manual.html usr/share/raysession/manual/raysession/en/ usr/share/raysession/manual/raysession/en/.directory usr/share/raysession/manual/raysession/en/images/ usr/share/raysession/manual/raysession/en/images/.directory usr/share/raysession/manual/raysession/en/images/add_application_filter.png usr/share/raysession/manual/raysession/en/images/add_application_infos.png usr/share/raysession/manual/raysession/en/images/add_application.png usr/share/raysession/manual/raysession/en/images/add_executable_plus.png usr/share/raysession/manual/raysession/en/images/add_executable.png usr/share/raysession/manual/raysession/en/images/audacity_executable.png usr/share/raysession/manual/raysession/en/images/audacity_load_config_file.png usr/share/raysession/manual/raysession/en/images/audacity_ray_hack_final.png usr/share/raysession/manual/raysession/en/images/audacity_save_file.png usr/share/raysession/manual/raysession/en/images/audacity_save_project.png usr/share/raysession/manual/raysession/en/images/cliche.png usr/share/raysession/manual/raysession/en/images/client_carla.png usr/share/raysession/manual/raysession/en/images/daemon_options.png usr/share/raysession/manual/raysession/en/images/example_add_ardour.png usr/share/raysession/manual/raysession/en/images/example_ardour_track.png usr/share/raysession/manual/raysession/en/images/example_new_session.png usr/share/raysession/manual/raysession/en/images/example_patchbay.png usr/share/raysession/manual/raysession/en/images/example_session_launched.png usr/share/raysession/manual/raysession/en/images/example_session_ready.png usr/share/raysession/manual/raysession/en/images/flags/ usr/share/raysession/manual/raysession/en/images/flags/de.jpeg usr/share/raysession/manual/raysession/en/images/flags/en.jpeg usr/share/raysession/manual/raysession/en/images/flags/fr.jpeg usr/share/raysession/manual/raysession/en/images/network_session_child.png usr/share/raysession/manual/raysession/en/images/network_session_client.png usr/share/raysession/manual/raysession/en/images/network_session_invitation.png usr/share/raysession/manual/raysession/en/images/network_session_template.png usr/share/raysession/manual/raysession/en/images/options_du_demon.png usr/share/raysession/manual/raysession/en/images/patchbay_a2j.png usr/share/raysession/manual/raysession/en/images/patchbay_base.png usr/share/raysession/manual/raysession/en/images/patchbay_CV.png usr/share/raysession/manual/raysession/en/images/patchbay_start.png usr/share/raysession/manual/raysession/en/images/properties_general.png usr/share/raysession/manual/raysession/en/images/properties_nsm.png usr/share/raysession/manual/raysession/en/images/properties_ray_hack.png usr/share/raysession/manual/raysession/en/images/ray_hack_launch.png usr/share/raysession/manual/raysession/en/images/ray_hack_non_saveable.png usr/share/raysession/manual/raysession/en/images/ray_hack_signals.png usr/share/raysession/manual/raysession/en/images/ray_hack_test_zone.png usr/share/raysession/manual/raysession/en/images/raysession.svg usr/share/raysession/manual/raysession/en/images/session_bottom_line.png usr/share/raysession/manual/raysession/en/images/session_top_line.png usr/share/raysession/manual/raysession/en/images/snapshots.png usr/share/raysession/manual/raysession/en/images/video/ usr/share/raysession/manual/raysession/en/images/video/fast_new_plugin.mp4 usr/share/raysession/manual/raysession/en/images/video/patchbay_fast_cut_paste.mp4 usr/share/raysession/manual/raysession/en/images/video/patchbay_rafal_connections.mp4 usr/share/raysession/manual/raysession/en/images/video/patchbay_simple_connect.mp4 usr/share/raysession/manual/raysession/en/manual.adoc usr/share/raysession/manual/raysession/en/manual.html usr/share/raysession/manual/raysession/fr/ usr/share/raysession/manual/raysession/fr/.directory usr/share/raysession/manual/raysession/fr/images/ usr/share/raysession/manual/raysession/fr/images/.directory usr/share/raysession/manual/raysession/fr/images/ajout_application_filtre.png usr/share/raysession/manual/raysession/fr/images/ajout_application_infos.png usr/share/raysession/manual/raysession/fr/images/ajout_application_unique_id.png usr/share/raysession/manual/raysession/fr/images/ajout_application.png usr/share/raysession/manual/raysession/fr/images/ajout_executable_plus.png usr/share/raysession/manual/raysession/fr/images/ajout_executable.png usr/share/raysession/manual/raysession/fr/images/audacity_charger_config_file.png usr/share/raysession/manual/raysession/fr/images/audacity_executable.png usr/share/raysession/manual/raysession/fr/images/audacity_ray_hack_final.png usr/share/raysession/manual/raysession/fr/images/audacity_sauver_fichier.png usr/share/raysession/manual/raysession/fr/images/audacity_sauver_projet.png usr/share/raysession/manual/raysession/fr/images/cliche.png usr/share/raysession/manual/raysession/fr/images/client_carla.png usr/share/raysession/manual/raysession/fr/images/exemple_ajouter_ardour.png usr/share/raysession/manual/raysession/fr/images/exemple_ardour_piste.png usr/share/raysession/manual/raysession/fr/images/exemple_nouvelle_session.png usr/share/raysession/manual/raysession/fr/images/exemple_patchbay.png usr/share/raysession/manual/raysession/fr/images/exemple_session_lancee.png usr/share/raysession/manual/raysession/fr/images/exemple_session_prete.png usr/share/raysession/manual/raysession/fr/images/options_du_demon.png usr/share/raysession/manual/raysession/fr/images/proprietes_general.png usr/share/raysession/manual/raysession/fr/images/proprietes_nsm.png usr/share/raysession/manual/raysession/fr/images/proprietes_ray_hack.png usr/share/raysession/manual/raysession/fr/images/ray_hack_lancement.png usr/share/raysession/manual/raysession/fr/images/ray_hack_non_sauvegarde.png usr/share/raysession/manual/raysession/fr/images/ray_hack_signaux.png usr/share/raysession/manual/raysession/fr/images/ray_hack_zone_test.png usr/share/raysession/manual/raysession/fr/images/session_ligne_du_bas.png usr/share/raysession/manual/raysession/fr/images/session_ligne_du_haut.png usr/share/raysession/manual/raysession/fr/images/session_reseau_client.png usr/share/raysession/manual/raysession/fr/images/session_reseau_fenetre_fille.png usr/share/raysession/manual/raysession/fr/images/session_reseau_invite.png usr/share/raysession/manual/raysession/fr/images/session_reseau_modele.png usr/share/raysession/manual/raysession/fr/manual.adoc usr/share/raysession/manual/raysession/fr/manual.html usr/share/raysession/manual/raysession/monitor_capability_api usr/share/raysession/session_scripts/ usr/share/raysession/session_scripts/base scripts/ usr/share/raysession/session_scripts/base scripts/ray-scripts usr/share/raysession/session_scripts/save_jack_config/ usr/share/raysession/session_scripts/save_jack_config/ray-scripts usr/share/raysession/session_scripts/save_via_window/ usr/share/raysession/session_scripts/save_via_window/ray-scripts/ usr/share/raysession/session_scripts/save_via_window/ray-scripts/save_via_windows.sh usr/share/raysession/session_scripts/save_via_window/ray-scripts/save.sh usr/share/raysession/session_scripts/slow_open/ usr/share/raysession/session_scripts/slow_open/ray-scripts/ usr/share/raysession/session_scripts/slow_open/ray-scripts/load.sh usr/share/raysession/session_templates/ usr/share/raysession/session_templates/scripted/ usr/share/raysession/session_templates/scripted/ray-scripts/ usr/share/raysession/session_templates/scripted/ray-scripts/close.sh usr/share/raysession/session_templates/scripted/ray-scripts/load.sh usr/share/raysession/session_templates/scripted/ray-scripts/save.sh usr/share/raysession/session_templates/scripted/raysession.xml usr/share/raysession/session_templates/with_jack_config/ usr/share/raysession/session_templates/with_jack_config/ray-scripts/ usr/share/raysession/session_templates/with_jack_config/ray-scripts/.directory usr/share/raysession/session_templates/with_jack_config/ray-scripts/.jack_config_script usr/share/raysession/session_templates/with_jack_config/ray-scripts/close.sh usr/share/raysession/session_templates/with_jack_config/ray-scripts/load.sh usr/share/raysession/session_templates/with_jack_config/ray-scripts/save.sh usr/share/raysession/session_templates/with_jack_config/raysession.xml usr/share/raysession/session_templates/with_jack_patch/ usr/share/raysession/session_templates/with_jack_patch/raysession.xml usr/share/raysession/src/ usr/share/raysession/src/__pycache__/ usr/share/raysession/src/__pycache__/resources_rc.cpython-312.pyc usr/share/raysession/src/bin/ usr/share/raysession/src/bin/ray_control usr/share/raysession/src/bin/ray_git usr/share/raysession/src/bin/ray-alsapatch usr/share/raysession/src/bin/ray-daemon usr/share/raysession/src/bin/ray-jack_checker_daemon usr/share/raysession/src/bin/ray-jack_config_script usr/share/raysession/src/bin/ray-jackpatch usr/share/raysession/src/bin/ray-jackpatch_to_osc usr/share/raysession/src/bin/ray-network usr/share/raysession/src/bin/ray-proxy usr/share/raysession/src/bin/ray-pulse2jack usr/share/raysession/src/bin/raysession usr/share/raysession/src/bin/sooperlooper_lash usr/share/raysession/src/bin/sooperlooper_nsm usr/share/raysession/src/bin/utility_script_keeper.sh usr/share/raysession/src/bin/utility_script_starter.sh usr/share/raysession/src/clean_lines.sh usr/share/raysession/src/clients/ usr/share/raysession/src/clients/alsapatch/ usr/share/raysession/src/clients/alsapatch/__pycache__/ usr/share/raysession/src/clients/alsapatch/__pycache__/alsa_engine.cpython-312.pyc usr/share/raysession/src/clients/alsapatch/__pycache__/alsa_thread.cpython-312.pyc usr/share/raysession/src/clients/alsapatch/__pycache__/bases.cpython-312.pyc usr/share/raysession/src/clients/alsapatch/__pycache__/engine.cpython-312.pyc usr/share/raysession/src/clients/alsapatch/__pycache__/jack_renaming_tools.cpython-312.pyc usr/share/raysession/src/clients/alsapatch/__pycache__/main_loop.cpython-312.pyc usr/share/raysession/src/clients/alsapatch/__pycache__/nsm_client.cpython-312.pyc usr/share/raysession/src/clients/alsapatch/__pycache__/ray_alsapatch.cpython-312.pyc usr/share/raysession/src/clients/alsapatch/alsa_engine.py usr/share/raysession/src/clients/alsapatch/alsa_thread.py usr/share/raysession/src/clients/alsapatch/bases.py usr/share/raysession/src/clients/alsapatch/engine.py usr/share/raysession/src/clients/alsapatch/jack_renaming_tools.py usr/share/raysession/src/clients/alsapatch/main_loop.py usr/share/raysession/src/clients/alsapatch/nsm_client.py usr/share/raysession/src/clients/alsapatch/ray_alsapatch.py usr/share/raysession/src/clients/jackpatch/ usr/share/raysession/src/clients/jackpatch/__pycache__/ usr/share/raysession/src/clients/jackpatch/__pycache__/bases.cpython-312.pyc usr/share/raysession/src/clients/jackpatch/__pycache__/engine.cpython-312.pyc usr/share/raysession/src/clients/jackpatch/__pycache__/jack_callbacks.cpython-312.pyc usr/share/raysession/src/clients/jackpatch/__pycache__/jack_engine.cpython-312.pyc usr/share/raysession/src/clients/jackpatch/__pycache__/jack_renaming_tools.cpython-312.pyc usr/share/raysession/src/clients/jackpatch/__pycache__/main_loop.cpython-312.pyc usr/share/raysession/src/clients/jackpatch/__pycache__/nsm_client.cpython-312.pyc usr/share/raysession/src/clients/jackpatch/__pycache__/ray_jackpatch.cpython-312.pyc usr/share/raysession/src/clients/jackpatch/bases.py usr/share/raysession/src/clients/jackpatch/engine.py usr/share/raysession/src/clients/jackpatch/jack_callbacks.py usr/share/raysession/src/clients/jackpatch/jack_engine.py usr/share/raysession/src/clients/jackpatch/jack_renaming_tools.py usr/share/raysession/src/clients/jackpatch/jacklib/ usr/share/raysession/src/clients/jackpatch/jacklib/__init__.py usr/share/raysession/src/clients/jackpatch/jacklib/__pycache__/ usr/share/raysession/src/clients/jackpatch/jacklib/__pycache__/__init__.cpython-312.pyc usr/share/raysession/src/clients/jackpatch/jacklib/__pycache__/api.cpython-312.pyc usr/share/raysession/src/clients/jackpatch/jacklib/__pycache__/cb_setter.cpython-312.pyc usr/share/raysession/src/clients/jackpatch/jacklib/__pycache__/cdll_funcs.cpython-312.pyc usr/share/raysession/src/clients/jackpatch/jacklib/__pycache__/enums.cpython-312.pyc usr/share/raysession/src/clients/jackpatch/jacklib/__pycache__/helpers.cpython-312.pyc usr/share/raysession/src/clients/jackpatch/jacklib/__pycache__/types.cpython-312.pyc usr/share/raysession/src/clients/jackpatch/jacklib/__pycache__/version.cpython-312.pyc usr/share/raysession/src/clients/jackpatch/jacklib/api.py usr/share/raysession/src/clients/jackpatch/jacklib/cb_setter.py usr/share/raysession/src/clients/jackpatch/jacklib/cdll_funcs.py usr/share/raysession/src/clients/jackpatch/jacklib/enums.py usr/share/raysession/src/clients/jackpatch/jacklib/helpers.py usr/share/raysession/src/clients/jackpatch/jacklib/types.py usr/share/raysession/src/clients/jackpatch/jacklib/version.py usr/share/raysession/src/clients/jackpatch/main_loop.py usr/share/raysession/src/clients/jackpatch/nsm_client.py usr/share/raysession/src/clients/jackpatch/ray_jackpatch.py usr/share/raysession/src/clients/proxy/ usr/share/raysession/src/clients/proxy/__pycache__/ usr/share/raysession/src/clients/proxy/__pycache__/nsm_client_qt.cpython-312.pyc usr/share/raysession/src/clients/proxy/__pycache__/ray-proxy.cpython-312.pyc usr/share/raysession/src/clients/proxy/__pycache__/ray.cpython-312.pyc usr/share/raysession/src/clients/proxy/__pycache__/ui_proxy_copy.cpython-312.pyc usr/share/raysession/src/clients/proxy/__pycache__/ui_proxy_gui.cpython-312.pyc usr/share/raysession/src/clients/proxy/nsm_client_qt.py usr/share/raysession/src/clients/proxy/ray-proxy.py usr/share/raysession/src/clients/proxy/ray.py usr/share/raysession/src/clients/proxy/ui_proxy_copy.py usr/share/raysession/src/clients/proxy/ui_proxy_gui.py usr/share/raysession/src/clients/sooperlooper/ usr/share/raysession/src/clients/sooperlooper/__pycache__/ usr/share/raysession/src/clients/sooperlooper/__pycache__/jacklib.cpython-312.pyc usr/share/raysession/src/clients/sooperlooper/__pycache__/nsm_client_qt.cpython-312.pyc usr/share/raysession/src/clients/sooperlooper/__pycache__/ray.cpython-312.pyc usr/share/raysession/src/clients/sooperlooper/__pycache__/sooperlooper_nsm.cpython-312.pyc usr/share/raysession/src/clients/sooperlooper/jacklib.py usr/share/raysession/src/clients/sooperlooper/nsm_client_qt.py usr/share/raysession/src/clients/sooperlooper/ray.py usr/share/raysession/src/clients/sooperlooper/sooperlooper_lash usr/share/raysession/src/clients/sooperlooper/sooperlooper_nsm.py usr/share/raysession/src/control/ usr/share/raysession/src/control/__pycache__/ usr/share/raysession/src/control/__pycache__/osc_server.cpython-312.pyc usr/share/raysession/src/control/__pycache__/ray_control.cpython-312.pyc usr/share/raysession/src/control/help_en_US usr/share/raysession/src/control/help_fr_FR usr/share/raysession/src/control/osc_server.py usr/share/raysession/src/control/ray_control.py usr/share/raysession/src/daemon/ usr/share/raysession/src/daemon/__init__.py usr/share/raysession/src/daemon/__pycache__/ usr/share/raysession/src/daemon/__pycache__/__init__.cpython-312.pyc usr/share/raysession/src/daemon/__pycache__/ardour_templates.cpython-312.pyc usr/share/raysession/src/daemon/__pycache__/bookmarker.cpython-312.pyc usr/share/raysession/src/daemon/__pycache__/canvas_saver.cpython-312.pyc usr/share/raysession/src/daemon/__pycache__/client.cpython-312.pyc usr/share/raysession/src/daemon/__pycache__/daemon_tools.cpython-312.pyc usr/share/raysession/src/daemon/__pycache__/desktops_memory.cpython-312.pyc usr/share/raysession/src/daemon/__pycache__/file_copier.cpython-312.pyc usr/share/raysession/src/daemon/__pycache__/jack_renaming_tools.cpython-312.pyc usr/share/raysession/src/daemon/__pycache__/multi_daemon_file.cpython-312.pyc usr/share/raysession/src/daemon/__pycache__/osc_server_thread.cpython-312.pyc usr/share/raysession/src/daemon/__pycache__/patch_rewriter.cpython-312.pyc usr/share/raysession/src/daemon/__pycache__/ray.cpython-312.pyc usr/share/raysession/src/daemon/__pycache__/scripter.cpython-312.pyc usr/share/raysession/src/daemon/__pycache__/server_sender.cpython-312.pyc usr/share/raysession/src/daemon/__pycache__/session_signaled.cpython-312.pyc usr/share/raysession/src/daemon/__pycache__/session.cpython-312.pyc usr/share/raysession/src/daemon/__pycache__/signaler.cpython-312.pyc usr/share/raysession/src/daemon/__pycache__/snapshoter.cpython-312.pyc usr/share/raysession/src/daemon/__pycache__/templates_database.cpython-312.pyc usr/share/raysession/src/daemon/__pycache__/terminal_starter.cpython-312.pyc usr/share/raysession/src/daemon/__pycache__/xdg.cpython-312.pyc usr/share/raysession/src/daemon/__pycache__/xml_tools.cpython-312.pyc usr/share/raysession/src/daemon/ardour_templates.py usr/share/raysession/src/daemon/bookmarker.py usr/share/raysession/src/daemon/canvas_saver.py usr/share/raysession/src/daemon/client.py usr/share/raysession/src/daemon/daemon_tools.py usr/share/raysession/src/daemon/desktops_memory.py usr/share/raysession/src/daemon/file_copier.py usr/share/raysession/src/daemon/jack_renaming_tools.py usr/share/raysession/src/daemon/multi_daemon_file.py usr/share/raysession/src/daemon/osc_server_thread.py usr/share/raysession/src/daemon/patch_rewriter.py usr/share/raysession/src/daemon/ray.py usr/share/raysession/src/daemon/scripter.py usr/share/raysession/src/daemon/server_sender.py usr/share/raysession/src/daemon/session_signaled.py usr/share/raysession/src/daemon/session.py usr/share/raysession/src/daemon/signaler.py usr/share/raysession/src/daemon/snapshoter.py usr/share/raysession/src/daemon/templates_database.py usr/share/raysession/src/daemon/terminal_starter.py usr/share/raysession/src/daemon/xdg.py usr/share/raysession/src/daemon/xml_tools.py usr/share/raysession/src/gui/ usr/share/raysession/src/gui/__pycache__/ usr/share/raysession/src/gui/__pycache__/add_application_dialog.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/child_dialogs.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/client_prop_adv_dialog.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/client_properties_dialog.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/daemon_manager.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/gui_client.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/gui_server_thread.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/gui_session.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/gui_signaler.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/gui_tool_bar.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/gui_tools.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/jack_renaming_tools.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/list_widget_clients.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/list_widget_preview_clients.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/main_window.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/nsm_child.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/nsm_client_qt.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/open_session_dialog.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/preferences_dialog.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/ray_patchbay_manager.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/ray.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/raysession.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/resources_rc.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/snapshots_dialog.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/surclassed_widgets.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/utility_scripts.cpython-312.pyc usr/share/raysession/src/gui/__pycache__/xdg.cpython-312.pyc usr/share/raysession/src/gui/add_application_dialog.py usr/share/raysession/src/gui/child_dialogs.py usr/share/raysession/src/gui/client_prop_adv_dialog.py usr/share/raysession/src/gui/client_properties_dialog.py usr/share/raysession/src/gui/daemon_manager.py usr/share/raysession/src/gui/gui_client.py usr/share/raysession/src/gui/gui_server_thread.py usr/share/raysession/src/gui/gui_session.py usr/share/raysession/src/gui/gui_signaler.py usr/share/raysession/src/gui/gui_tool_bar.py usr/share/raysession/src/gui/gui_tools.py usr/share/raysession/src/gui/jack_renaming_tools.py usr/share/raysession/src/gui/list_widget_clients.py usr/share/raysession/src/gui/list_widget_preview_clients.py usr/share/raysession/src/gui/main_window.py usr/share/raysession/src/gui/nsm_child.py usr/share/raysession/src/gui/nsm_client_qt.py usr/share/raysession/src/gui/open_session_dialog.py usr/share/raysession/src/gui/patchbay/ usr/share/raysession/src/gui/patchbay/__init__.py usr/share/raysession/src/gui/patchbay/__pycache__/ usr/share/raysession/src/gui/patchbay/__pycache__/__init__.cpython-312.pyc usr/share/raysession/src/gui/patchbay/__pycache__/base_elements.cpython-312.pyc usr/share/raysession/src/gui/patchbay/__pycache__/calbacker.cpython-312.pyc usr/share/raysession/src/gui/patchbay/__pycache__/canvas_menu.cpython-312.pyc usr/share/raysession/src/gui/patchbay/__pycache__/filter_frame.cpython-312.pyc usr/share/raysession/src/gui/patchbay/__pycache__/options_dialog.cpython-312.pyc usr/share/raysession/src/gui/patchbay/__pycache__/patchbay_manager.cpython-312.pyc usr/share/raysession/src/gui/patchbay/__pycache__/patchbay_signals.cpython-312.pyc usr/share/raysession/src/gui/patchbay/__pycache__/patchbay.cpython-312.pyc usr/share/raysession/src/gui/patchbay/__pycache__/port_info_dialog.cpython-312.pyc usr/share/raysession/src/gui/patchbay/__pycache__/resources_rc.cpython-312.pyc usr/share/raysession/src/gui/patchbay/__pycache__/surclassed_widgets.cpython-312.pyc usr/share/raysession/src/gui/patchbay/__pycache__/tool_bar.cpython-312.pyc usr/share/raysession/src/gui/patchbay/__pycache__/tools_widgets.cpython-312.pyc usr/share/raysession/src/gui/patchbay/__pycache__/type_filter_frame.cpython-312.pyc usr/share/raysession/src/gui/patchbay/base_elements.py usr/share/raysession/src/gui/patchbay/calbacker.py usr/share/raysession/src/gui/patchbay/canvas_menu.py usr/share/raysession/src/gui/patchbay/filter_frame.py usr/share/raysession/src/gui/patchbay/options_dialog.py usr/share/raysession/src/gui/patchbay/patchbay_manager.py usr/share/raysession/src/gui/patchbay/patchbay_signals.py usr/share/raysession/src/gui/patchbay/patchbay.py usr/share/raysession/src/gui/patchbay/patchcanvas/ usr/share/raysession/src/gui/patchbay/patchcanvas/__init__.py usr/share/raysession/src/gui/patchbay/patchcanvas/__pycache__/ usr/share/raysession/src/gui/patchbay/patchcanvas/__pycache__/__init__.cpython-312.pyc usr/share/raysession/src/gui/patchbay/patchcanvas/__pycache__/box_widget_moth.cpython-312.pyc usr/share/raysession/src/gui/patchbay/patchcanvas/__pycache__/box_widget_shadow.cpython-312.pyc usr/share/raysession/src/gui/patchbay/patchcanvas/__pycache__/box_widget.cpython-312.pyc usr/share/raysession/src/gui/patchbay/patchcanvas/__pycache__/connect_menu_widgets.cpython-312.pyc usr/share/raysession/src/gui/patchbay/patchcanvas/__pycache__/connect_menu.cpython-312.pyc usr/share/raysession/src/gui/patchbay/patchcanvas/__pycache__/connectable_widget.cpython-312.pyc usr/share/raysession/src/gui/patchbay/patchcanvas/__pycache__/icon_widget.cpython-312.pyc usr/share/raysession/src/gui/patchbay/patchcanvas/__pycache__/init_values.cpython-312.pyc usr/share/raysession/src/gui/patchbay/patchcanvas/__pycache__/line_move_widget.cpython-312.pyc usr/share/raysession/src/gui/patchbay/patchcanvas/__pycache__/line_widget.cpython-312.pyc usr/share/raysession/src/gui/patchbay/patchcanvas/__pycache__/patchcanvas.cpython-312.pyc usr/share/raysession/src/gui/patchbay/patchcanvas/__pycache__/port_widget.cpython-312.pyc usr/share/raysession/src/gui/patchbay/patchcanvas/__pycache__/portgroup_widget.cpython-312.pyc usr/share/raysession/src/gui/patchbay/patchcanvas/__pycache__/scene_moth.cpython-312.pyc usr/share/raysession/src/gui/patchbay/patchcanvas/__pycache__/scene_view.cpython-312.pyc usr/share/raysession/src/gui/patchbay/patchcanvas/__pycache__/scene.cpython-312.pyc usr/share/raysession/src/gui/patchbay/patchcanvas/__pycache__/theme_manager.cpython-312.pyc usr/share/raysession/src/gui/patchbay/patchcanvas/__pycache__/theme.cpython-312.pyc usr/share/raysession/src/gui/patchbay/patchcanvas/__pycache__/utils.cpython-312.pyc usr/share/raysession/src/gui/patchbay/patchcanvas/__pycache__/xdg.cpython-312.pyc usr/share/raysession/src/gui/patchbay/patchcanvas/box_widget_moth.py usr/share/raysession/src/gui/patchbay/patchcanvas/box_widget_shadow.py usr/share/raysession/src/gui/patchbay/patchcanvas/box_widget.py usr/share/raysession/src/gui/patchbay/patchcanvas/connect_menu_widgets.py usr/share/raysession/src/gui/patchbay/patchcanvas/connect_menu.py usr/share/raysession/src/gui/patchbay/patchcanvas/connectable_widget.py usr/share/raysession/src/gui/patchbay/patchcanvas/icon_widget.py usr/share/raysession/src/gui/patchbay/patchcanvas/init_values.py usr/share/raysession/src/gui/patchbay/patchcanvas/line_move_widget.py usr/share/raysession/src/gui/patchbay/patchcanvas/line_widget.py usr/share/raysession/src/gui/patchbay/patchcanvas/patchcanvas.py usr/share/raysession/src/gui/patchbay/patchcanvas/port_widget.py usr/share/raysession/src/gui/patchbay/patchcanvas/portgroup_widget.py usr/share/raysession/src/gui/patchbay/patchcanvas/scene_moth.py usr/share/raysession/src/gui/patchbay/patchcanvas/scene_view.py usr/share/raysession/src/gui/patchbay/patchcanvas/scene.py usr/share/raysession/src/gui/patchbay/patchcanvas/theme_manager.py usr/share/raysession/src/gui/patchbay/patchcanvas/theme.py usr/share/raysession/src/gui/patchbay/patchcanvas/TODO usr/share/raysession/src/gui/patchbay/patchcanvas/utils.py usr/share/raysession/src/gui/patchbay/patchcanvas/xdg.py usr/share/raysession/src/gui/patchbay/port_info_dialog.py usr/share/raysession/src/gui/patchbay/resources_rc.py usr/share/raysession/src/gui/patchbay/surclassed_widgets.py usr/share/raysession/src/gui/patchbay/tool_bar.py usr/share/raysession/src/gui/patchbay/tools_widgets.py usr/share/raysession/src/gui/patchbay/type_filter_frame.py usr/share/raysession/src/gui/patchbay/ui/ usr/share/raysession/src/gui/patchbay/ui/__pycache__/ usr/share/raysession/src/gui/patchbay/ui/__pycache__/canvas_options.cpython-312.pyc usr/share/raysession/src/gui/patchbay/ui/__pycache__/canvas_port_info.cpython-312.pyc usr/share/raysession/src/gui/patchbay/ui/__pycache__/filter_frame.cpython-312.pyc usr/share/raysession/src/gui/patchbay/ui/__pycache__/patchbay_tools.cpython-312.pyc usr/share/raysession/src/gui/patchbay/ui/__pycache__/type_filter_frame.cpython-312.pyc usr/share/raysession/src/gui/patchbay/ui/canvas_options.py usr/share/raysession/src/gui/patchbay/ui/canvas_port_info.py usr/share/raysession/src/gui/patchbay/ui/filter_frame.py usr/share/raysession/src/gui/patchbay/ui/patchbay_tools.py usr/share/raysession/src/gui/patchbay/ui/type_filter_frame.py usr/share/raysession/src/gui/preferences_dialog.py usr/share/raysession/src/gui/ray_patchbay_manager.py usr/share/raysession/src/gui/ray.py usr/share/raysession/src/gui/raysession.py usr/share/raysession/src/gui/resources_rc.py usr/share/raysession/src/gui/snapshots_dialog.py usr/share/raysession/src/gui/surclassed_widgets.py usr/share/raysession/src/gui/ui/ usr/share/raysession/src/gui/ui/__pycache__/ usr/share/raysession/src/gui/ui/__pycache__/abort_copy.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/abort_session.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/about_raysession.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/add_application.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/ardour_convert.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/client_advanced_properties.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/client_properties.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/client_rename.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/client_slot.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/client_trash.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/daemon_url.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/donations.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/error_dialog.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/hydro_rh_nsm.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/jack_config_info.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/list_snapshots.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/new_executable.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/new_session.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/nsm_open_info.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/nsm_properties.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/open_session.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/preview_client_slot.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/quit_app.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/ray_hack_copy.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/ray_hack_properties.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/ray_net_properties.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/ray_to_nsm.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/raysession.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/remove_template.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/save_template_session.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/script_info.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/script_user_action.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/session_notes.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/session_scripts_info.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/settings.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/snapshot_name.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/snapshot_progress.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/snapshots_info.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/startup_dialog.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/stop_client_no_save.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/stop_client.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/systray_close.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/template_slot.cpython-312.pyc usr/share/raysession/src/gui/ui/__pycache__/waiting_close_user.cpython-312.pyc usr/share/raysession/src/gui/ui/abort_copy.py usr/share/raysession/src/gui/ui/abort_session.py usr/share/raysession/src/gui/ui/about_raysession.py usr/share/raysession/src/gui/ui/add_application.py usr/share/raysession/src/gui/ui/ardour_convert.py usr/share/raysession/src/gui/ui/client_advanced_properties.py usr/share/raysession/src/gui/ui/client_properties.py usr/share/raysession/src/gui/ui/client_rename.py usr/share/raysession/src/gui/ui/client_slot.py usr/share/raysession/src/gui/ui/client_trash.py usr/share/raysession/src/gui/ui/daemon_url.py usr/share/raysession/src/gui/ui/donations.py usr/share/raysession/src/gui/ui/error_dialog.py usr/share/raysession/src/gui/ui/hydro_rh_nsm.py usr/share/raysession/src/gui/ui/jack_config_info.py usr/share/raysession/src/gui/ui/list_snapshots.py usr/share/raysession/src/gui/ui/new_executable.py usr/share/raysession/src/gui/ui/new_session.py usr/share/raysession/src/gui/ui/nsm_open_info.py usr/share/raysession/src/gui/ui/nsm_properties.py usr/share/raysession/src/gui/ui/open_session.py usr/share/raysession/src/gui/ui/preview_client_slot.py usr/share/raysession/src/gui/ui/quit_app.py usr/share/raysession/src/gui/ui/ray_hack_copy.py usr/share/raysession/src/gui/ui/ray_hack_properties.py usr/share/raysession/src/gui/ui/ray_net_properties.py usr/share/raysession/src/gui/ui/ray_to_nsm.py usr/share/raysession/src/gui/ui/raysession.py usr/share/raysession/src/gui/ui/remove_template.py usr/share/raysession/src/gui/ui/save_template_session.py usr/share/raysession/src/gui/ui/script_info.py usr/share/raysession/src/gui/ui/script_user_action.py usr/share/raysession/src/gui/ui/session_notes.py usr/share/raysession/src/gui/ui/session_scripts_info.py usr/share/raysession/src/gui/ui/settings.py usr/share/raysession/src/gui/ui/snapshot_name.py usr/share/raysession/src/gui/ui/snapshot_progress.py usr/share/raysession/src/gui/ui/snapshots_info.py usr/share/raysession/src/gui/ui/startup_dialog.py usr/share/raysession/src/gui/ui/stop_client_no_save.py usr/share/raysession/src/gui/ui/stop_client.py usr/share/raysession/src/gui/ui/systray_close.py usr/share/raysession/src/gui/ui/template_slot.py usr/share/raysession/src/gui/ui/waiting_close_user.py usr/share/raysession/src/gui/utility_scripts.py usr/share/raysession/src/gui/xdg.py usr/share/raysession/src/jack_config_script/ usr/share/raysession/src/jack_config_script/__pycache__/ usr/share/raysession/src/jack_config_script/__pycache__/jack_checker_daemon.cpython-312.pyc usr/share/raysession/src/jack_config_script/__pycache__/jack_parameters.cpython-312.pyc usr/share/raysession/src/jack_config_script/__pycache__/pulse2jack_tool.cpython-312.pyc usr/share/raysession/src/jack_config_script/get_diff.sh usr/share/raysession/src/jack_config_script/jack_checker_daemon.py usr/share/raysession/src/jack_config_script/jack_parameters.py usr/share/raysession/src/jack_config_script/load_config.sh usr/share/raysession/src/jack_config_script/locale.sh usr/share/raysession/src/jack_config_script/pulse2jack_tool.py usr/share/raysession/src/jack_config_script/putback_config.sh usr/share/raysession/src/jack_config_script/reconfigure-pulse2jack.sh usr/share/raysession/src/jack_config_script/save_config.sh usr/share/raysession/src/jack_config_script/script_starter.sh usr/share/raysession/src/jack_config_script/set_jack_parameters.sh usr/share/raysession/src/jack_config_script/shared.sh usr/share/raysession/src/jack_patchbay_to_osc/ usr/share/raysession/src/jack_patchbay_to_osc/__pycache__/ usr/share/raysession/src/jack_patchbay_to_osc/__pycache__/alsa_manager.cpython-312.pyc usr/share/raysession/src/jack_patchbay_to_osc/__pycache__/osc_server.cpython-312.pyc usr/share/raysession/src/jack_patchbay_to_osc/__pycache__/port_data.cpython-312.pyc usr/share/raysession/src/jack_patchbay_to_osc/__pycache__/ray_jackpatch_to_osc.cpython-312.pyc usr/share/raysession/src/jack_patchbay_to_osc/alsa_manager.py usr/share/raysession/src/jack_patchbay_to_osc/jacklib/ usr/share/raysession/src/jack_patchbay_to_osc/jacklib/__init__.py usr/share/raysession/src/jack_patchbay_to_osc/jacklib/__pycache__/ usr/share/raysession/src/jack_patchbay_to_osc/jacklib/__pycache__/__init__.cpython-312.pyc usr/share/raysession/src/jack_patchbay_to_osc/jacklib/__pycache__/api.cpython-312.pyc usr/share/raysession/src/jack_patchbay_to_osc/jacklib/__pycache__/cb_setter.cpython-312.pyc usr/share/raysession/src/jack_patchbay_to_osc/jacklib/__pycache__/cdll_funcs.cpython-312.pyc usr/share/raysession/src/jack_patchbay_to_osc/jacklib/__pycache__/enums.cpython-312.pyc usr/share/raysession/src/jack_patchbay_to_osc/jacklib/__pycache__/helpers.cpython-312.pyc usr/share/raysession/src/jack_patchbay_to_osc/jacklib/__pycache__/types.cpython-312.pyc usr/share/raysession/src/jack_patchbay_to_osc/jacklib/__pycache__/version.cpython-312.pyc usr/share/raysession/src/jack_patchbay_to_osc/jacklib/api.py usr/share/raysession/src/jack_patchbay_to_osc/jacklib/cb_setter.py usr/share/raysession/src/jack_patchbay_to_osc/jacklib/cdll_funcs.py usr/share/raysession/src/jack_patchbay_to_osc/jacklib/enums.py usr/share/raysession/src/jack_patchbay_to_osc/jacklib/helpers.py usr/share/raysession/src/jack_patchbay_to_osc/jacklib/types.py usr/share/raysession/src/jack_patchbay_to_osc/jacklib/version.py usr/share/raysession/src/jack_patchbay_to_osc/osc_server.py usr/share/raysession/src/jack_patchbay_to_osc/port_data.py usr/share/raysession/src/jack_patchbay_to_osc/ray_jackpatch_to_osc.py usr/share/raysession/src/resources_rc.py usr/share/raysession/src/shared/ usr/share/raysession/src/shared/__pycache__/ usr/share/raysession/src/shared/__pycache__/jack_renaming_tools.cpython-312.pyc usr/share/raysession/src/shared/__pycache__/jacklib.cpython-312.pyc usr/share/raysession/src/shared/__pycache__/nsm_client_qt.cpython-312.pyc usr/share/raysession/src/shared/__pycache__/nsm_client.cpython-312.pyc usr/share/raysession/src/shared/__pycache__/over_liblo.cpython-312.pyc usr/share/raysession/src/shared/__pycache__/print_osc_messages.cpython-312.pyc usr/share/raysession/src/shared/__pycache__/ray.cpython-312.pyc usr/share/raysession/src/shared/jack_renaming_tools.py usr/share/raysession/src/shared/jacklib.py usr/share/raysession/src/shared/nsm_client_qt.py usr/share/raysession/src/shared/nsm_client.py usr/share/raysession/src/shared/over_liblo.py usr/share/raysession/src/shared/print_osc_messages.py usr/share/raysession/src/shared/ray.py usr/share/raysession/utility-scripts/ usr/share/raysession/utility-scripts/a2j_non_unique.py usr/share/raysession/utility-scripts/all_ray_hack_to_nsm_hydrogen.sh usr/share/raysession/utility-scripts/all_ray_hack_to_nsm_jack_mixer.sh usr/share/raysession/utility-scripts/ardour_from_external_to_session.sh usr/share/raysession/utility-scripts/ardour5to6ray.sh usr/share/raysession/utility-scripts/clear_trash.sh usr/share/raysession/utility-scripts/connections_nsm_adapter.py usr/share/raysession/utility-scripts/hydro_from_proxy_to_nsm.sh usr/share/raysession/utility-scripts/rayproxy_to_rayhack.sh usr/share/raysession/utility-scripts/session_ray_to_nsm.sh
aarch64rezonateur0.1.0-3A virtual-analog 3-band resonator effect LV2/VST2 plugin and JACK client
Close

rezonateur 0.1.0-3


Architecture:aarch64
Base Package:rezonateur (PKGBUILD)
Description:A virtual-analog 3-band resonator effect LV2/VST2 plugin and JACK client
Upstream URL:https://github.com/jpcima/rezonateur
Groups:lv2-plugins
pro-audio
vst-plugins
Download Size:240.3 kB (Download)
Installed Size:1.8 MB
License:Boost
Build Date:2022-11-20 00:07 UTC
Dependencies:cairo
gcc-libs
jack: for running the JACK stand-alone application (optional)
lv2-host: for loading the LV2 plugin (optional)
vst-host: for loading the VST2 plugin (optional)
jack (make)
mesa (make)
Package Contents
usr/ usr/bin/ usr/bin/rezonateur usr/bin/rezonateur-stereo usr/lib/ usr/lib/lv2/ usr/lib/lv2/rezonateur-stereo.lv2/ usr/lib/lv2/rezonateur-stereo.lv2/manifest.ttl usr/lib/lv2/rezonateur-stereo.lv2/rezonateur-stereo.so usr/lib/lv2/rezonateur-stereo.lv2/rezonateur-stereo.ttl usr/lib/lv2/rezonateur.lv2/ usr/lib/lv2/rezonateur.lv2/manifest.ttl usr/lib/lv2/rezonateur.lv2/rezonateur.so usr/lib/lv2/rezonateur.lv2/rezonateur.ttl usr/lib/vst/ usr/lib/vst/rezonateur-stereo-vst.so usr/lib/vst/rezonateur-vst.so
x86_64rezonateur0.1.0-3A virtual-analog 3-band resonator effect LV2/VST2 plugin and JACK client
Close

rezonateur 0.1.0-3


Architecture:x86_64
Base Package:rezonateur (PKGBUILD)
Description:A virtual-analog 3-band resonator effect LV2/VST2 plugin and JACK client
Upstream URL:https://github.com/jpcima/rezonateur
Groups:lv2-plugins
pro-audio
vst-plugins
Download Size:315.8 kB (Download)
Installed Size:1.8 MB
License:Boost
Build Date:2022-11-20 00:07 UTC
Dependencies:cairo
gcc-libs
jack: for running the JACK stand-alone application (optional)
lv2-host: for loading the LV2 plugin (optional)
vst-host: for loading the VST2 plugin (optional)
jack (make)
mesa (make)
Package Contents
usr/ usr/bin/ usr/bin/rezonateur usr/bin/rezonateur-stereo usr/lib/ usr/lib/lv2/ usr/lib/lv2/rezonateur-stereo.lv2/ usr/lib/lv2/rezonateur-stereo.lv2/manifest.ttl usr/lib/lv2/rezonateur-stereo.lv2/rezonateur-stereo.so usr/lib/lv2/rezonateur-stereo.lv2/rezonateur-stereo.ttl usr/lib/lv2/rezonateur.lv2/ usr/lib/lv2/rezonateur.lv2/manifest.ttl usr/lib/lv2/rezonateur.lv2/rezonateur.so usr/lib/lv2/rezonateur.lv2/rezonateur.ttl usr/lib/vst/ usr/lib/vst/rezonateur-stereo-vst.so usr/lib/vst/rezonateur-vst.so
anyrtcqs0.6.2-4Scanner for potential real-time bottlenecks
Close

rtcqs 0.6.2-4


Architecture:any
Base Package:rtcqs (PKGBUILD)
Description:Scanner for potential real-time bottlenecks
Upstream URL:https://codeberg.org/rtcqs/rtcqs
Groups:pro-audio
Download Size:41.0 kB (Download)
Installed Size:172.0 kB
License:MIT
Build Date:2024-07-23 23:42 UTC
Dependencies:hicolor-icon-theme
python
python-build (make)
python-installer (make)
python-setuptools (make)
python-wheel (make)
Package Contents
usr/ usr/bin/ usr/bin/rtcqs usr/bin/rtcqs_gui usr/lib/ usr/lib/python3.12/ usr/lib/python3.12/site-packages/ usr/lib/python3.12/site-packages/rtcqs-0.6.2.dist-info/ usr/lib/python3.12/site-packages/rtcqs-0.6.2.dist-info/entry_points.txt usr/lib/python3.12/site-packages/rtcqs-0.6.2.dist-info/LICENSE usr/lib/python3.12/site-packages/rtcqs-0.6.2.dist-info/METADATA usr/lib/python3.12/site-packages/rtcqs-0.6.2.dist-info/RECORD usr/lib/python3.12/site-packages/rtcqs-0.6.2.dist-info/top_level.txt usr/lib/python3.12/site-packages/rtcqs-0.6.2.dist-info/WHEEL usr/lib/python3.12/site-packages/rtcqs/ usr/lib/python3.12/site-packages/rtcqs/__init__.py usr/lib/python3.12/site-packages/rtcqs/__pycache__/ usr/lib/python3.12/site-packages/rtcqs/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/rtcqs/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/rtcqs/__pycache__/resources.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/rtcqs/__pycache__/resources.cpython-312.pyc usr/lib/python3.12/site-packages/rtcqs/__pycache__/rtcqs_gui.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/rtcqs/__pycache__/rtcqs_gui.cpython-312.pyc usr/lib/python3.12/site-packages/rtcqs/__pycache__/rtcqs_qt_gui.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/rtcqs/__pycache__/rtcqs_qt_gui.cpython-312.pyc usr/lib/python3.12/site-packages/rtcqs/__pycache__/rtcqs.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/rtcqs/__pycache__/rtcqs.cpython-312.pyc usr/lib/python3.12/site-packages/rtcqs/resources.py usr/lib/python3.12/site-packages/rtcqs/rtcqs_gui.py usr/lib/python3.12/site-packages/rtcqs/rtcqs_qt_gui.py usr/lib/python3.12/site-packages/rtcqs/rtcqs.py usr/share/ usr/share/applications/ usr/share/applications/rtcqs.desktop usr/share/icons/ usr/share/icons/hicolor/ usr/share/icons/hicolor/scalable/ usr/share/icons/hicolor/scalable/apps/ usr/share/icons/hicolor/scalable/apps/rtcqs.svg usr/share/licenses/ usr/share/licenses/rtcqs/ usr/share/licenses/rtcqs/LICENSE
aarch64seq660.99.14-1A live-looping MIDI sequencer with a Qt graphical interface
Close

seq66 0.99.14-1


Architecture:aarch64
Base Package:seq66 (PKGBUILD)
Description:A live-looping MIDI sequencer with a Qt graphical interface
Upstream URL:https://github.com/ahlstromcj/seq66
Groups:pro-audio
Download Size:9.6 MB (Download)
Installed Size:18.0 MB
Licenses:GPL-2.0-only
GPL-3.0-or-later
Build Date:2024-08-24 17:47 UTC
Dependencies:gcc-libs
glibc
graphite
libasound.so=2-64
libjack.so=0-64
liblo.so=7-64
qt5-base
alsa-lib (make)
git (make)
jack (make)
liblo (make)
Package Contents
usr/ usr/bin/ usr/bin/qseq66 usr/bin/seq66cli usr/include/ usr/include/seq66-0.99/ usr/include/seq66-0.99/base64_images.hpp usr/include/seq66-0.99/cfg/ usr/include/seq66-0.99/cfg/basesettings.hpp usr/include/seq66-0.99/cfg/cmdlineopts.hpp usr/include/seq66-0.99/cfg/comments.hpp usr/include/seq66-0.99/cfg/configfile.hpp usr/include/seq66-0.99/cfg/midicontrolfile.hpp usr/include/seq66-0.99/cfg/mutegroupsfile.hpp usr/include/seq66-0.99/cfg/notemapfile.hpp usr/include/seq66-0.99/cfg/playlistfile.hpp usr/include/seq66-0.99/cfg/rcfile.hpp usr/include/seq66-0.99/cfg/rcsettings.hpp usr/include/seq66-0.99/cfg/recent.hpp usr/include/seq66-0.99/cfg/scales.hpp usr/include/seq66-0.99/cfg/sessionfile.hpp usr/include/seq66-0.99/cfg/settings.hpp usr/include/seq66-0.99/cfg/userinstrument.hpp usr/include/seq66-0.99/cfg/usermidibus.hpp usr/include/seq66-0.99/cfg/usrfile.hpp usr/include/seq66-0.99/cfg/usrsettings.hpp usr/include/seq66-0.99/cfg/zoomer.hpp usr/include/seq66-0.99/ctrl/ usr/include/seq66-0.99/ctrl/automation.hpp usr/include/seq66-0.99/ctrl/keycontainer.hpp usr/include/seq66-0.99/ctrl/keycontrol.hpp usr/include/seq66-0.99/ctrl/keymap.hpp usr/include/seq66-0.99/ctrl/keystroke.hpp usr/include/seq66-0.99/ctrl/midicontrol.hpp usr/include/seq66-0.99/ctrl/midicontrolbase.hpp usr/include/seq66-0.99/ctrl/midicontrolin.hpp usr/include/seq66-0.99/ctrl/midicontrolout.hpp usr/include/seq66-0.99/ctrl/midimacro.hpp usr/include/seq66-0.99/ctrl/midimacros.hpp usr/include/seq66-0.99/ctrl/midioperation.hpp usr/include/seq66-0.99/ctrl/opcontainer.hpp usr/include/seq66-0.99/ctrl/opcontrol.hpp usr/include/seq66-0.99/midi/ usr/include/seq66-0.99/midi/businfo.hpp usr/include/seq66-0.99/midi/calculations.hpp usr/include/seq66-0.99/midi/controllers.hpp usr/include/seq66-0.99/midi/editable_event.hpp usr/include/seq66-0.99/midi/editable_events.hpp usr/include/seq66-0.99/midi/event.hpp usr/include/seq66-0.99/midi/eventlist.hpp usr/include/seq66-0.99/midi/jack_assistant.hpp usr/include/seq66-0.99/midi/mastermidibase.hpp usr/include/seq66-0.99/midi/mastermidibus.hpp usr/include/seq66-0.99/midi/midi_splitter.hpp usr/include/seq66-0.99/midi/midi_vector_base.hpp usr/include/seq66-0.99/midi/midi_vector.hpp usr/include/seq66-0.99/midi/midibase.hpp usr/include/seq66-0.99/midi/midibus_common.hpp usr/include/seq66-0.99/midi/midibus.hpp usr/include/seq66-0.99/midi/midibytes.hpp usr/include/seq66-0.99/midi/midifile.hpp usr/include/seq66-0.99/midi/wrkfile.hpp usr/include/seq66-0.99/nsm/ usr/include/seq66-0.99/nsm/nsmbase.hpp usr/include/seq66-0.99/nsm/nsmclient.hpp usr/include/seq66-0.99/nsm/nsmmessagesex.hpp usr/include/seq66-0.99/nsm/nsmserver.hpp usr/include/seq66-0.99/os/ usr/include/seq66-0.99/os/daemonize.hpp usr/include/seq66-0.99/os/shellexecute.hpp usr/include/seq66-0.99/os/timing.hpp usr/include/seq66-0.99/play/ usr/include/seq66-0.99/play/clockslist.hpp usr/include/seq66-0.99/play/inputslist.hpp usr/include/seq66-0.99/play/metro.hpp usr/include/seq66-0.99/play/mutegroup.hpp usr/include/seq66-0.99/play/mutegroups.hpp usr/include/seq66-0.99/play/notemapper.hpp usr/include/seq66-0.99/play/performer.hpp usr/include/seq66-0.99/play/playlist.hpp usr/include/seq66-0.99/play/portslist.hpp usr/include/seq66-0.99/play/screenset.hpp usr/include/seq66-0.99/play/seq.hpp usr/include/seq66-0.99/play/sequence.hpp usr/include/seq66-0.99/play/setmapper.hpp usr/include/seq66-0.99/play/setmaster.hpp usr/include/seq66-0.99/play/songsummary.hpp usr/include/seq66-0.99/play/triggers.hpp usr/include/seq66-0.99/seq66_features.h usr/include/seq66-0.99/seq66_features.hpp usr/include/seq66-0.99/seq66_platform_macros.h usr/include/seq66-0.99/seq66/ usr/include/seq66-0.99/seq66/gui_palette_qt5.hpp usr/include/seq66-0.99/seq66/mastermidibus_rm.hpp usr/include/seq66-0.99/seq66/midi_alsa_info.hpp usr/include/seq66-0.99/seq66/midi_alsa.hpp usr/include/seq66-0.99/seq66/midi_api.hpp usr/include/seq66-0.99/seq66/midi_info.hpp usr/include/seq66-0.99/seq66/midi_jack_data.hpp usr/include/seq66-0.99/seq66/midi_jack_info.hpp usr/include/seq66-0.99/seq66/midi_jack.hpp usr/include/seq66-0.99/seq66/midi_probe.hpp usr/include/seq66-0.99/seq66/midibus_rm.hpp usr/include/seq66-0.99/seq66/palettefile.hpp usr/include/seq66-0.99/seq66/qbase.hpp usr/include/seq66-0.99/seq66/qclocklayout.hpp usr/include/seq66-0.99/seq66/qeditbase.hpp usr/include/seq66-0.99/seq66/qinputcheckbox.hpp usr/include/seq66-0.99/seq66/qlfoframe.hpp usr/include/seq66-0.99/seq66/qliveframeex.hpp usr/include/seq66-0.99/seq66/qloopbutton.hpp usr/include/seq66-0.99/seq66/qmutemaster.hpp usr/include/seq66-0.99/seq66/qpatternfix.hpp usr/include/seq66-0.99/seq66/qperfbase.hpp usr/include/seq66-0.99/seq66/qperfeditex.hpp usr/include/seq66-0.99/seq66/qperfeditframe64.hpp usr/include/seq66-0.99/seq66/qperfnames.hpp usr/include/seq66-0.99/seq66/qperfroll.hpp usr/include/seq66-0.99/seq66/qperftime.hpp usr/include/seq66-0.99/seq66/qplaylistframe.hpp usr/include/seq66-0.99/seq66/qportwidget.hpp usr/include/seq66-0.99/seq66/qsabout.hpp usr/include/seq66-0.99/seq66/qsappinfo.hpp usr/include/seq66-0.99/seq66/qsbuildinfo.hpp usr/include/seq66-0.99/seq66/qscrollmaster.h usr/include/seq66-0.99/seq66/qscrollslave.h usr/include/seq66-0.99/seq66/qseditoptions.hpp usr/include/seq66-0.99/seq66/qseqbase.hpp usr/include/seq66-0.99/seq66/qseqdata.hpp usr/include/seq66-0.99/seq66/qseqeditex.hpp usr/include/seq66-0.99/seq66/qseqeditframe64.hpp usr/include/seq66-0.99/seq66/qseqeventframe.hpp usr/include/seq66-0.99/seq66/qseqframe.hpp usr/include/seq66-0.99/seq66/qseqkeys.hpp usr/include/seq66-0.99/seq66/qseqroll.hpp usr/include/seq66-0.99/seq66/qseqtime.hpp usr/include/seq66-0.99/seq66/qsessionframe.hpp usr/include/seq66-0.99/seq66/qsetmaster.hpp usr/include/seq66-0.99/seq66/qseventslots.hpp usr/include/seq66-0.99/seq66/qslivebase.hpp usr/include/seq66-0.99/seq66/qslivegrid.hpp usr/include/seq66-0.99/seq66/qslotbutton.hpp usr/include/seq66-0.99/seq66/qsmaintime.hpp usr/include/seq66-0.99/seq66/qsmainwnd.hpp usr/include/seq66-0.99/seq66/qstriggereditor.hpp usr/include/seq66-0.99/seq66/qt5_helper.h usr/include/seq66-0.99/seq66/qt5_helpers.hpp usr/include/seq66-0.99/seq66/qt5nsmanager.hpp usr/include/seq66-0.99/seq66/rterror.hpp usr/include/seq66-0.99/seq66/rtmidi_info.hpp usr/include/seq66-0.99/seq66/rtmidi.hpp usr/include/seq66-0.99/seq66/seq66_rtmidi_features.h usr/include/seq66-0.99/sessions/ usr/include/seq66-0.99/sessions/clinsmanager.hpp usr/include/seq66-0.99/sessions/smanager.hpp usr/include/seq66-0.99/util/ usr/include/seq66-0.99/util/automutex.hpp usr/include/seq66-0.99/util/basic_macros.h usr/include/seq66-0.99/util/basic_macros.hpp usr/include/seq66-0.99/util/condition.hpp usr/include/seq66-0.99/util/filefunctions.hpp usr/include/seq66-0.99/util/named_bools.hpp usr/include/seq66-0.99/util/palette.hpp usr/include/seq66-0.99/util/recmutex.hpp usr/include/seq66-0.99/util/rect.hpp usr/include/seq66-0.99/util/ring_buffer.hpp usr/include/seq66-0.99/util/strfunctions.hpp usr/lib/ usr/lib/seq66-0.99/ usr/lib/seq66-0.99/libseq_qt5.so usr/lib/seq66-0.99/libseq_qt5.so.0 usr/lib/seq66-0.99/libseq_qt5.so.0.0.0 usr/lib/seq66-0.99/libseq_rtmidi.so usr/lib/seq66-0.99/libseq_rtmidi.so.0 usr/lib/seq66-0.99/libseq_rtmidi.so.0.0.0 usr/lib/seq66-0.99/libseq66.so usr/lib/seq66-0.99/libseq66.so.0 usr/lib/seq66-0.99/libseq66.so.0.0.0 usr/lib/seq66-0.99/libsessions.so usr/lib/seq66-0.99/libsessions.so.0 usr/lib/seq66-0.99/libsessions.so.0.0.0 usr/share/ usr/share/applications/ usr/share/applications/seq66.desktop usr/share/doc/ usr/share/doc/seq66/ usr/share/doc/seq66/akai-mini-play-mk3.ods usr/share/doc/seq66/ChangeLog usr/share/doc/seq66/control_keys.ods usr/share/doc/seq66/info/ usr/share/doc/seq66/info/automation_keys.html usr/share/doc/seq66/info/common_keys.html usr/share/doc/seq66/info/mute_group_keys.html usr/share/doc/seq66/info/pattern_hotkeys.html usr/share/doc/seq66/info/seqroll_keys.html usr/share/doc/seq66/info/songroll_keys.html usr/share/doc/seq66/launchpad-mini.ods usr/share/doc/seq66/Mini_Play_Info.ods usr/share/doc/seq66/NEWS usr/share/doc/seq66/README.md usr/share/doc/seq66/RELNOTES usr/share/doc/seq66/ROADMAP.md usr/share/doc/seq66/seq66-user-manual.pdf usr/share/doc/seq66/TODO usr/share/doc/seq66/tutorial/ usr/share/doc/seq66/tutorial/configuration.html usr/share/doc/seq66/tutorial/css/ usr/share/doc/seq66/tutorial/css/dark-slide.css usr/share/doc/seq66/tutorial/css/emac-slide.css usr/share/doc/seq66/tutorial/css/light-slide.css usr/share/doc/seq66/tutorial/css/slide.css usr/share/doc/seq66/tutorial/faq.html usr/share/doc/seq66/tutorial/home.html usr/share/doc/seq66/tutorial/images/ usr/share/doc/seq66/tutorial/images/app/ usr/share/doc/seq66/tutorial/images/app/lfo.png usr/share/doc/seq66/tutorial/images/app/main-window.png usr/share/doc/seq66/tutorial/images/app/mutes-tab.png usr/share/doc/seq66/tutorial/images/app/pattern-editor.png usr/share/doc/seq66/tutorial/images/app/pattern-slot.png usr/share/doc/seq66/tutorial/images/app/patternfix.png usr/share/doc/seq66/tutorial/images/app/play-record-buttons.png usr/share/doc/seq66/tutorial/images/app/playlist-tab.png usr/share/doc/seq66/tutorial/images/app/ports.png usr/share/doc/seq66/tutorial/images/app/preferences.png usr/share/doc/seq66/tutorial/images/app/session-tab.png usr/share/doc/seq66/tutorial/images/app/sets-tab.png usr/share/doc/seq66/tutorial/images/app/song-editor-annotated.png usr/share/doc/seq66/tutorial/images/app/song-editor.png usr/share/doc/seq66/tutorial/images/doxygen.png usr/share/doc/seq66/tutorial/images/ftv2blank.png usr/share/doc/seq66/tutorial/images/ftv2doc.png usr/share/doc/seq66/tutorial/images/ftv2folderclosed.png usr/share/doc/seq66/tutorial/images/ftv2folderopen.png usr/share/doc/seq66/tutorial/images/ftv2lastnode.png usr/share/doc/seq66/tutorial/images/ftv2link.png usr/share/doc/seq66/tutorial/images/ftv2mlastnode.png usr/share/doc/seq66/tutorial/images/ftv2mnode.png usr/share/doc/seq66/tutorial/images/ftv2node.png usr/share/doc/seq66/tutorial/images/ftv2plastnode.png usr/share/doc/seq66/tutorial/images/ftv2pnode.png usr/share/doc/seq66/tutorial/images/ftv2vertline.png usr/share/doc/seq66/tutorial/images/README usr/share/doc/seq66/tutorial/images/stock/ usr/share/doc/seq66/tutorial/images/stock/back.png usr/share/doc/seq66/tutorial/images/stock/bsd-devil-image.png usr/share/doc/seq66/tutorial/images/stock/forw.png usr/share/doc/seq66/tutorial/images/stock/home.png usr/share/doc/seq66/tutorial/images/stock/mac-osx-image.png usr/share/doc/seq66/tutorial/images/stock/OS5.png usr/share/doc/seq66/tutorial/images/stock/OS5bak.png usr/share/doc/seq66/tutorial/images/stock/padding.png usr/share/doc/seq66/tutorial/images/stock/penguins.png usr/share/doc/seq66/tutorial/images/stock/seq66-rwb.png usr/share/doc/seq66/tutorial/images/stock/seq66.png usr/share/doc/seq66/tutorial/images/stock/solaris-image.png usr/share/doc/seq66/tutorial/images/stock/tux-image.png usr/share/doc/seq66/tutorial/images/stock/xbill-image.png usr/share/doc/seq66/tutorial/images/tab_b.gif usr/share/doc/seq66/tutorial/images/tab_l.gif usr/share/doc/seq66/tutorial/images/tab_r.gif usr/share/doc/seq66/tutorial/index.html usr/share/doc/seq66/tutorial/introduction.html usr/share/doc/seq66/tutorial/left-tree.html usr/share/doc/seq66/tutorial/main_window_patterns.html usr/share/doc/seq66/tutorial/main_window.html usr/share/doc/seq66/tutorial/mutes_manager.html usr/share/doc/seq66/tutorial/pagenotready.html usr/share/doc/seq66/tutorial/pattern_editor.html usr/share/doc/seq66/tutorial/pattern_tools.html usr/share/doc/seq66/tutorial/playlist_manager.html usr/share/doc/seq66/tutorial/sets_manager.html usr/share/doc/seq66/tutorial/song_editor.html usr/share/doc/seq66/tutorial/tutorial_first_startup.html usr/share/doc/seq66/tutorial/tutorial_live_play.html usr/share/doc/seq66/tutorial/tutorial_main.html usr/share/doc/seq66/tutorial/tutorial_new_patterns.html usr/share/doc/seq66/tutorial/tutorial_new_song.html usr/share/doc/seq66/tutorial/tutorial_other_features.html usr/share/doc/seq66/tutorial/tutorial_song_performance.html usr/share/man/ usr/share/man/man1/ usr/share/man/man1/seq66.1.gz usr/share/man/man1/seq66cli.1.gz usr/share/man/man1/sequencer66.1.gz usr/share/pixmaps/ usr/share/pixmaps/qseq66.png usr/share/pixmaps/route66.xpm usr/share/pixmaps/route66rwb-32x32.xpm usr/share/pixmaps/route66rwb-64x64.xpm usr/share/seq66-0.99/ usr/share/seq66-0.99/icons/ usr/share/seq66-0.99/icons/hicolor/ usr/share/seq66-0.99/icons/hicolor/128x128/ usr/share/seq66-0.99/icons/hicolor/128x128/apps/ usr/share/seq66-0.99/icons/hicolor/128x128/apps/qseq66.png usr/share/seq66-0.99/icons/hicolor/16x16/ usr/share/seq66-0.99/icons/hicolor/16x16/apps/ usr/share/seq66-0.99/icons/hicolor/16x16/apps/qseq66.png usr/share/seq66-0.99/icons/hicolor/24x24/ usr/share/seq66-0.99/icons/hicolor/24x24/apps/ usr/share/seq66-0.99/icons/hicolor/24x24/apps/qseq66.png usr/share/seq66-0.99/icons/hicolor/256x256/ usr/share/seq66-0.99/icons/hicolor/256x256/apps/ usr/share/seq66-0.99/icons/hicolor/256x256/apps/qseq66.png usr/share/seq66-0.99/icons/hicolor/32x32/ usr/share/seq66-0.99/icons/hicolor/32x32/apps/ usr/share/seq66-0.99/icons/hicolor/32x32/apps/qseq66.png usr/share/seq66-0.99/icons/hicolor/32x32/apps/qseq66rwb.png usr/share/seq66-0.99/icons/hicolor/48x48/ usr/share/seq66-0.99/icons/hicolor/48x48/apps/ usr/share/seq66-0.99/icons/hicolor/48x48/apps/qseq66.png usr/share/seq66-0.99/icons/hicolor/64x64/ usr/share/seq66-0.99/icons/hicolor/64x64/apps/ usr/share/seq66-0.99/icons/hicolor/64x64/apps/qseq66.png usr/share/seq66-0.99/icons/hicolor/64x64/apps/seq66rwb.png usr/share/seq66-0.99/license.text usr/share/seq66-0.99/linux/ usr/share/seq66-0.99/linux/alsa_ports.rc usr/share/seq66-0.99/linux/ca_ports.rc usr/share/seq66-0.99/linux/jack_ports.rc usr/share/seq66-0.99/linux/jack/ usr/share/seq66-0.99/linux/jack/jack_portmaps.rc usr/share/seq66-0.99/linux/jack/pulseaudio/ usr/share/seq66-0.99/linux/jack/pulseaudio/jack-post-start.sh usr/share/seq66-0.99/linux/jack/pulseaudio/jack-post-stop.sh usr/share/seq66-0.99/linux/jack/pulseaudio/jack-pre-start.sh usr/share/seq66-0.99/linux/jack/pulseaudio/jack-pre-stop.sh usr/share/seq66-0.99/linux/jack/pulseaudio/repulse usr/share/seq66-0.99/linux/jack/README usr/share/seq66-0.99/linux/jack/startjack usr/share/seq66-0.99/linux/jack/startqjack usr/share/seq66-0.99/linux/macros-APC40-mk2.ctrl usr/share/seq66-0.99/linux/macros-launchpad-mini.ctrl usr/share/seq66-0.99/linux/macros-launchpad-pro-mk3.ctrl usr/share/seq66-0.99/linux/macros-MMC.ctrl usr/share/seq66-0.99/linux/qseq66-alt-gray.palette usr/share/seq66-0.99/linux/qseq66-azerty-fr.keymap usr/share/seq66-0.99/linux/qseq66-azerty.ctrl usr/share/seq66-0.99/linux/qseq66-default.palette usr/share/seq66-0.99/linux/qseq66-gray.palette usr/share/seq66-0.99/linux/qseq66-lp-mini-8x8.ctrl usr/share/seq66-0.99/linux/qseq66-lp-mini-alt.ctrl usr/share/seq66-0.99/linux/qseq66-lp-mini-swapped.ctrl usr/share/seq66-0.99/linux/qseq66-lp-mini.ctrl usr/share/seq66-0.99/linux/qseq66-qwerty-us.keymap usr/share/seq66-0.99/linux/qseq66-swapped.ctrl usr/share/seq66-0.99/linux/qseq66.ctrl usr/share/seq66-0.99/linux/qseq66.drums usr/share/seq66-0.99/linux/qseq66.mutes usr/share/seq66-0.99/linux/qseq66.palette usr/share/seq66-0.99/linux/qseq66.playlist usr/share/seq66-0.99/linux/qseq66.rc usr/share/seq66-0.99/linux/qseq66.rc.legacy usr/share/seq66-0.99/linux/qseq66.usr usr/share/seq66-0.99/linux/yoshimi-b4uacuse-gm.state usr/share/seq66-0.99/midi/ usr/share/seq66-0.99/midi/16-blank-patterns.midi usr/share/seq66-0.99/midi/b4uacufm.mid usr/share/seq66-0.99/midi/b4uacuse-gm-patchless.midi usr/share/seq66-0.99/midi/Carpet_of_the_Sun.text usr/share/seq66-0.99/midi/carptsun-4.midi usr/share/seq66-0.99/midi/carptsun.midi usr/share/seq66-0.99/midi/Chameleon-HHancock-Ov.midi usr/share/seq66-0.99/midi/colours.midi usr/share/seq66-0.99/midi/EE-qsynth-presets.conf usr/share/seq66-0.99/midi/FM/ usr/share/seq66-0.99/midi/FM/brecluse.mid usr/share/seq66-0.99/midi/FM/carptsun.mid usr/share/seq66-0.99/midi/FM/cbflitfm.mid usr/share/seq66-0.99/midi/FM/dasmodel.mid usr/share/seq66-0.99/midi/FM/grntamb.mid usr/share/seq66-0.99/midi/FM/hapwandr.mid usr/share/seq66-0.99/midi/FM/judyblue.mid usr/share/seq66-0.99/midi/FM/k_seq11.mid usr/share/seq66-0.99/midi/FM/longhair.mid usr/share/seq66-0.99/midi/FM/marraksh.mid usr/share/seq66-0.99/midi/FM/oxyg4bfm.mid usr/share/seq66-0.99/midi/FM/pirates.mid usr/share/seq66-0.99/midi/FM/pss680.mid usr/share/seq66-0.99/midi/FM/qufrency.mid usr/share/seq66-0.99/midi/FM/stdemo3.mid usr/share/seq66-0.99/midi/FM/viceuk.mid usr/share/seq66-0.99/midi/FM/wallstsm.mid usr/share/seq66-0.99/midi/If_You_Could_Read_My_Mind.mid usr/share/seq66-0.99/midi/Kraftwerk-Europe_Endless-reconstructed.midi usr/share/seq66-0.99/midi/Kraftwerk-Europe_Endless.asc usr/share/seq66-0.99/midi/Kraftwerk-Europe_Endless.text usr/share/seq66-0.99/midi/metro.midi usr/share/seq66-0.99/midi/Peter_Gunn-reconstructed.midi usr/share/seq66-0.99/midi/Peter_Gunn.text usr/share/seq66-0.99/midi/PSS-790/ usr/share/seq66-0.99/midi/PSS-790/ancestor.mid usr/share/seq66-0.99/midi/PSS-790/carptsun.mid usr/share/seq66-0.99/midi/PSS-790/cbflite.mid usr/share/seq66-0.99/midi/PSS-790/old_love.mid usr/share/seq66-0.99/midi/README usr/share/seq66-0.99/pixmaps/ usr/share/seq66-0.99/pixmaps/route66.png usr/share/seq66-0.99/pixmaps/route66rwb-32x32.png usr/share/seq66-0.99/pixmaps/route66rwb-64x64.png usr/share/seq66-0.99/readme.text usr/share/seq66-0.99/readme.windows usr/share/seq66-0.99/samples/ usr/share/seq66-0.99/samples/ca_midi.playlist usr/share/seq66-0.99/samples/dark-gradient.qss usr/share/seq66-0.99/samples/flat-rounded.qss usr/share/seq66-0.99/samples/GM_DD-11.drums usr/share/seq66-0.99/samples/GM_PSS-790_Multi.ini usr/share/seq66-0.99/samples/GM_PSS-790.drums usr/share/seq66-0.99/samples/grey-ghost.qss usr/share/seq66-0.99/samples/incrypt-66.palette usr/share/seq66-0.99/samples/incrypt-66.qss usr/share/seq66-0.99/samples/nanomap.ctrl usr/share/seq66-0.99/samples/perstfic-66.palette usr/share/seq66-0.99/samples/perstfic-66.qss usr/share/seq66-0.99/samples/qseq66-sample.palette usr/share/seq66-0.99/samples/qseq66.qss usr/share/seq66-0.99/samples/sample.playlist usr/share/seq66-0.99/samples/sample.usr usr/share/seq66-0.99/samples/sessions.rc usr/share/seq66-0.99/samples/textfix.qss usr/share/seq66-0.99/seq66cli/ usr/share/seq66-0.99/seq66cli/seq66cli.ctrl usr/share/seq66-0.99/seq66cli/seq66cli.drums usr/share/seq66-0.99/seq66cli/seq66cli.mutes usr/share/seq66-0.99/seq66cli/seq66cli.playlist usr/share/seq66-0.99/seq66cli/seq66cli.rc usr/share/seq66-0.99/seq66cli/seq66cli.usr usr/share/seq66-0.99/win/ usr/share/seq66-0.99/win/dark-theme.qss usr/share/seq66-0.99/win/qpseq66.ctrl usr/share/seq66-0.99/win/qpseq66.drums usr/share/seq66-0.99/win/qpseq66.mutes usr/share/seq66-0.99/win/qpseq66.palette usr/share/seq66-0.99/win/qpseq66.playlist usr/share/seq66-0.99/win/qpseq66.rc usr/share/seq66-0.99/win/qpseq66.usr usr/share/seq66-0.99/win/win_midi.playlist usr/share/seq66-0.99/wrk/ usr/share/seq66-0.99/wrk/longhair.midi usr/share/seq66-0.99/wrk/longhair.wrk usr/share/seq66-0.99/wrk/oxygen4b.wrk
x86_64seq660.99.14-1A live-looping MIDI sequencer with a Qt graphical interface
Close

seq66 0.99.14-1


Architecture:x86_64
Base Package:seq66 (PKGBUILD)
Description:A live-looping MIDI sequencer with a Qt graphical interface
Upstream URL:https://github.com/ahlstromcj/seq66
Groups:pro-audio
Download Size:9.8 MB (Download)
Installed Size:17.3 MB
Licenses:GPL-2.0-only
GPL-3.0-or-later
Build Date:2024-08-24 17:47 UTC
Dependencies:gcc-libs
glibc
graphite
libasound.so=2-64
libjack.so=0-64
liblo.so=7-64
qt5-base
alsa-lib (make)
git (make)
jack (make)
liblo (make)
Package Contents
usr/ usr/bin/ usr/bin/qseq66 usr/bin/seq66cli usr/include/ usr/include/seq66-0.99/ usr/include/seq66-0.99/base64_images.hpp usr/include/seq66-0.99/cfg/ usr/include/seq66-0.99/cfg/basesettings.hpp usr/include/seq66-0.99/cfg/cmdlineopts.hpp usr/include/seq66-0.99/cfg/comments.hpp usr/include/seq66-0.99/cfg/configfile.hpp usr/include/seq66-0.99/cfg/midicontrolfile.hpp usr/include/seq66-0.99/cfg/mutegroupsfile.hpp usr/include/seq66-0.99/cfg/notemapfile.hpp usr/include/seq66-0.99/cfg/playlistfile.hpp usr/include/seq66-0.99/cfg/rcfile.hpp usr/include/seq66-0.99/cfg/rcsettings.hpp usr/include/seq66-0.99/cfg/recent.hpp usr/include/seq66-0.99/cfg/scales.hpp usr/include/seq66-0.99/cfg/sessionfile.hpp usr/include/seq66-0.99/cfg/settings.hpp usr/include/seq66-0.99/cfg/userinstrument.hpp usr/include/seq66-0.99/cfg/usermidibus.hpp usr/include/seq66-0.99/cfg/usrfile.hpp usr/include/seq66-0.99/cfg/usrsettings.hpp usr/include/seq66-0.99/cfg/zoomer.hpp usr/include/seq66-0.99/ctrl/ usr/include/seq66-0.99/ctrl/automation.hpp usr/include/seq66-0.99/ctrl/keycontainer.hpp usr/include/seq66-0.99/ctrl/keycontrol.hpp usr/include/seq66-0.99/ctrl/keymap.hpp usr/include/seq66-0.99/ctrl/keystroke.hpp usr/include/seq66-0.99/ctrl/midicontrol.hpp usr/include/seq66-0.99/ctrl/midicontrolbase.hpp usr/include/seq66-0.99/ctrl/midicontrolin.hpp usr/include/seq66-0.99/ctrl/midicontrolout.hpp usr/include/seq66-0.99/ctrl/midimacro.hpp usr/include/seq66-0.99/ctrl/midimacros.hpp usr/include/seq66-0.99/ctrl/midioperation.hpp usr/include/seq66-0.99/ctrl/opcontainer.hpp usr/include/seq66-0.99/ctrl/opcontrol.hpp usr/include/seq66-0.99/midi/ usr/include/seq66-0.99/midi/businfo.hpp usr/include/seq66-0.99/midi/calculations.hpp usr/include/seq66-0.99/midi/controllers.hpp usr/include/seq66-0.99/midi/editable_event.hpp usr/include/seq66-0.99/midi/editable_events.hpp usr/include/seq66-0.99/midi/event.hpp usr/include/seq66-0.99/midi/eventlist.hpp usr/include/seq66-0.99/midi/jack_assistant.hpp usr/include/seq66-0.99/midi/mastermidibase.hpp usr/include/seq66-0.99/midi/mastermidibus.hpp usr/include/seq66-0.99/midi/midi_splitter.hpp usr/include/seq66-0.99/midi/midi_vector_base.hpp usr/include/seq66-0.99/midi/midi_vector.hpp usr/include/seq66-0.99/midi/midibase.hpp usr/include/seq66-0.99/midi/midibus_common.hpp usr/include/seq66-0.99/midi/midibus.hpp usr/include/seq66-0.99/midi/midibytes.hpp usr/include/seq66-0.99/midi/midifile.hpp usr/include/seq66-0.99/midi/wrkfile.hpp usr/include/seq66-0.99/nsm/ usr/include/seq66-0.99/nsm/nsmbase.hpp usr/include/seq66-0.99/nsm/nsmclient.hpp usr/include/seq66-0.99/nsm/nsmmessagesex.hpp usr/include/seq66-0.99/nsm/nsmserver.hpp usr/include/seq66-0.99/os/ usr/include/seq66-0.99/os/daemonize.hpp usr/include/seq66-0.99/os/shellexecute.hpp usr/include/seq66-0.99/os/timing.hpp usr/include/seq66-0.99/play/ usr/include/seq66-0.99/play/clockslist.hpp usr/include/seq66-0.99/play/inputslist.hpp usr/include/seq66-0.99/play/metro.hpp usr/include/seq66-0.99/play/mutegroup.hpp usr/include/seq66-0.99/play/mutegroups.hpp usr/include/seq66-0.99/play/notemapper.hpp usr/include/seq66-0.99/play/performer.hpp usr/include/seq66-0.99/play/playlist.hpp usr/include/seq66-0.99/play/portslist.hpp usr/include/seq66-0.99/play/screenset.hpp usr/include/seq66-0.99/play/seq.hpp usr/include/seq66-0.99/play/sequence.hpp usr/include/seq66-0.99/play/setmapper.hpp usr/include/seq66-0.99/play/setmaster.hpp usr/include/seq66-0.99/play/songsummary.hpp usr/include/seq66-0.99/play/triggers.hpp usr/include/seq66-0.99/seq66_features.h usr/include/seq66-0.99/seq66_features.hpp usr/include/seq66-0.99/seq66_platform_macros.h usr/include/seq66-0.99/seq66/ usr/include/seq66-0.99/seq66/gui_palette_qt5.hpp usr/include/seq66-0.99/seq66/mastermidibus_rm.hpp usr/include/seq66-0.99/seq66/midi_alsa_info.hpp usr/include/seq66-0.99/seq66/midi_alsa.hpp usr/include/seq66-0.99/seq66/midi_api.hpp usr/include/seq66-0.99/seq66/midi_info.hpp usr/include/seq66-0.99/seq66/midi_jack_data.hpp usr/include/seq66-0.99/seq66/midi_jack_info.hpp usr/include/seq66-0.99/seq66/midi_jack.hpp usr/include/seq66-0.99/seq66/midi_probe.hpp usr/include/seq66-0.99/seq66/midibus_rm.hpp usr/include/seq66-0.99/seq66/palettefile.hpp usr/include/seq66-0.99/seq66/qbase.hpp usr/include/seq66-0.99/seq66/qclocklayout.hpp usr/include/seq66-0.99/seq66/qeditbase.hpp usr/include/seq66-0.99/seq66/qinputcheckbox.hpp usr/include/seq66-0.99/seq66/qlfoframe.hpp usr/include/seq66-0.99/seq66/qliveframeex.hpp usr/include/seq66-0.99/seq66/qloopbutton.hpp usr/include/seq66-0.99/seq66/qmutemaster.hpp usr/include/seq66-0.99/seq66/qpatternfix.hpp usr/include/seq66-0.99/seq66/qperfbase.hpp usr/include/seq66-0.99/seq66/qperfeditex.hpp usr/include/seq66-0.99/seq66/qperfeditframe64.hpp usr/include/seq66-0.99/seq66/qperfnames.hpp usr/include/seq66-0.99/seq66/qperfroll.hpp usr/include/seq66-0.99/seq66/qperftime.hpp usr/include/seq66-0.99/seq66/qplaylistframe.hpp usr/include/seq66-0.99/seq66/qportwidget.hpp usr/include/seq66-0.99/seq66/qsabout.hpp usr/include/seq66-0.99/seq66/qsappinfo.hpp usr/include/seq66-0.99/seq66/qsbuildinfo.hpp usr/include/seq66-0.99/seq66/qscrollmaster.h usr/include/seq66-0.99/seq66/qscrollslave.h usr/include/seq66-0.99/seq66/qseditoptions.hpp usr/include/seq66-0.99/seq66/qseqbase.hpp usr/include/seq66-0.99/seq66/qseqdata.hpp usr/include/seq66-0.99/seq66/qseqeditex.hpp usr/include/seq66-0.99/seq66/qseqeditframe64.hpp usr/include/seq66-0.99/seq66/qseqeventframe.hpp usr/include/seq66-0.99/seq66/qseqframe.hpp usr/include/seq66-0.99/seq66/qseqkeys.hpp usr/include/seq66-0.99/seq66/qseqroll.hpp usr/include/seq66-0.99/seq66/qseqtime.hpp usr/include/seq66-0.99/seq66/qsessionframe.hpp usr/include/seq66-0.99/seq66/qsetmaster.hpp usr/include/seq66-0.99/seq66/qseventslots.hpp usr/include/seq66-0.99/seq66/qslivebase.hpp usr/include/seq66-0.99/seq66/qslivegrid.hpp usr/include/seq66-0.99/seq66/qslotbutton.hpp usr/include/seq66-0.99/seq66/qsmaintime.hpp usr/include/seq66-0.99/seq66/qsmainwnd.hpp usr/include/seq66-0.99/seq66/qstriggereditor.hpp usr/include/seq66-0.99/seq66/qt5_helper.h usr/include/seq66-0.99/seq66/qt5_helpers.hpp usr/include/seq66-0.99/seq66/qt5nsmanager.hpp usr/include/seq66-0.99/seq66/rterror.hpp usr/include/seq66-0.99/seq66/rtmidi_info.hpp usr/include/seq66-0.99/seq66/rtmidi.hpp usr/include/seq66-0.99/seq66/seq66_rtmidi_features.h usr/include/seq66-0.99/sessions/ usr/include/seq66-0.99/sessions/clinsmanager.hpp usr/include/seq66-0.99/sessions/smanager.hpp usr/include/seq66-0.99/util/ usr/include/seq66-0.99/util/automutex.hpp usr/include/seq66-0.99/util/basic_macros.h usr/include/seq66-0.99/util/basic_macros.hpp usr/include/seq66-0.99/util/condition.hpp usr/include/seq66-0.99/util/filefunctions.hpp usr/include/seq66-0.99/util/named_bools.hpp usr/include/seq66-0.99/util/palette.hpp usr/include/seq66-0.99/util/recmutex.hpp usr/include/seq66-0.99/util/rect.hpp usr/include/seq66-0.99/util/ring_buffer.hpp usr/include/seq66-0.99/util/strfunctions.hpp usr/lib/ usr/lib/seq66-0.99/ usr/lib/seq66-0.99/libseq_qt5.so usr/lib/seq66-0.99/libseq_qt5.so.0 usr/lib/seq66-0.99/libseq_qt5.so.0.0.0 usr/lib/seq66-0.99/libseq_rtmidi.so usr/lib/seq66-0.99/libseq_rtmidi.so.0 usr/lib/seq66-0.99/libseq_rtmidi.so.0.0.0 usr/lib/seq66-0.99/libseq66.so usr/lib/seq66-0.99/libseq66.so.0 usr/lib/seq66-0.99/libseq66.so.0.0.0 usr/lib/seq66-0.99/libsessions.so usr/lib/seq66-0.99/libsessions.so.0 usr/lib/seq66-0.99/libsessions.so.0.0.0 usr/share/ usr/share/applications/ usr/share/applications/seq66.desktop usr/share/doc/ usr/share/doc/seq66/ usr/share/doc/seq66/akai-mini-play-mk3.ods usr/share/doc/seq66/ChangeLog usr/share/doc/seq66/control_keys.ods usr/share/doc/seq66/info/ usr/share/doc/seq66/info/automation_keys.html usr/share/doc/seq66/info/common_keys.html usr/share/doc/seq66/info/mute_group_keys.html usr/share/doc/seq66/info/pattern_hotkeys.html usr/share/doc/seq66/info/seqroll_keys.html usr/share/doc/seq66/info/songroll_keys.html usr/share/doc/seq66/launchpad-mini.ods usr/share/doc/seq66/Mini_Play_Info.ods usr/share/doc/seq66/NEWS usr/share/doc/seq66/README.md usr/share/doc/seq66/RELNOTES usr/share/doc/seq66/ROADMAP.md usr/share/doc/seq66/seq66-user-manual.pdf usr/share/doc/seq66/TODO usr/share/doc/seq66/tutorial/ usr/share/doc/seq66/tutorial/configuration.html usr/share/doc/seq66/tutorial/css/ usr/share/doc/seq66/tutorial/css/dark-slide.css usr/share/doc/seq66/tutorial/css/emac-slide.css usr/share/doc/seq66/tutorial/css/light-slide.css usr/share/doc/seq66/tutorial/css/slide.css usr/share/doc/seq66/tutorial/faq.html usr/share/doc/seq66/tutorial/home.html usr/share/doc/seq66/tutorial/images/ usr/share/doc/seq66/tutorial/images/app/ usr/share/doc/seq66/tutorial/images/app/lfo.png usr/share/doc/seq66/tutorial/images/app/main-window.png usr/share/doc/seq66/tutorial/images/app/mutes-tab.png usr/share/doc/seq66/tutorial/images/app/pattern-editor.png usr/share/doc/seq66/tutorial/images/app/pattern-slot.png usr/share/doc/seq66/tutorial/images/app/patternfix.png usr/share/doc/seq66/tutorial/images/app/play-record-buttons.png usr/share/doc/seq66/tutorial/images/app/playlist-tab.png usr/share/doc/seq66/tutorial/images/app/ports.png usr/share/doc/seq66/tutorial/images/app/preferences.png usr/share/doc/seq66/tutorial/images/app/session-tab.png usr/share/doc/seq66/tutorial/images/app/sets-tab.png usr/share/doc/seq66/tutorial/images/app/song-editor-annotated.png usr/share/doc/seq66/tutorial/images/app/song-editor.png usr/share/doc/seq66/tutorial/images/doxygen.png usr/share/doc/seq66/tutorial/images/ftv2blank.png usr/share/doc/seq66/tutorial/images/ftv2doc.png usr/share/doc/seq66/tutorial/images/ftv2folderclosed.png usr/share/doc/seq66/tutorial/images/ftv2folderopen.png usr/share/doc/seq66/tutorial/images/ftv2lastnode.png usr/share/doc/seq66/tutorial/images/ftv2link.png usr/share/doc/seq66/tutorial/images/ftv2mlastnode.png usr/share/doc/seq66/tutorial/images/ftv2mnode.png usr/share/doc/seq66/tutorial/images/ftv2node.png usr/share/doc/seq66/tutorial/images/ftv2plastnode.png usr/share/doc/seq66/tutorial/images/ftv2pnode.png usr/share/doc/seq66/tutorial/images/ftv2vertline.png usr/share/doc/seq66/tutorial/images/README usr/share/doc/seq66/tutorial/images/stock/ usr/share/doc/seq66/tutorial/images/stock/back.png usr/share/doc/seq66/tutorial/images/stock/bsd-devil-image.png usr/share/doc/seq66/tutorial/images/stock/forw.png usr/share/doc/seq66/tutorial/images/stock/home.png usr/share/doc/seq66/tutorial/images/stock/mac-osx-image.png usr/share/doc/seq66/tutorial/images/stock/OS5.png usr/share/doc/seq66/tutorial/images/stock/OS5bak.png usr/share/doc/seq66/tutorial/images/stock/padding.png usr/share/doc/seq66/tutorial/images/stock/penguins.png usr/share/doc/seq66/tutorial/images/stock/seq66-rwb.png usr/share/doc/seq66/tutorial/images/stock/seq66.png usr/share/doc/seq66/tutorial/images/stock/solaris-image.png usr/share/doc/seq66/tutorial/images/stock/tux-image.png usr/share/doc/seq66/tutorial/images/stock/xbill-image.png usr/share/doc/seq66/tutorial/images/tab_b.gif usr/share/doc/seq66/tutorial/images/tab_l.gif usr/share/doc/seq66/tutorial/images/tab_r.gif usr/share/doc/seq66/tutorial/index.html usr/share/doc/seq66/tutorial/introduction.html usr/share/doc/seq66/tutorial/left-tree.html usr/share/doc/seq66/tutorial/main_window_patterns.html usr/share/doc/seq66/tutorial/main_window.html usr/share/doc/seq66/tutorial/mutes_manager.html usr/share/doc/seq66/tutorial/pagenotready.html usr/share/doc/seq66/tutorial/pattern_editor.html usr/share/doc/seq66/tutorial/pattern_tools.html usr/share/doc/seq66/tutorial/playlist_manager.html usr/share/doc/seq66/tutorial/sets_manager.html usr/share/doc/seq66/tutorial/song_editor.html usr/share/doc/seq66/tutorial/tutorial_first_startup.html usr/share/doc/seq66/tutorial/tutorial_live_play.html usr/share/doc/seq66/tutorial/tutorial_main.html usr/share/doc/seq66/tutorial/tutorial_new_patterns.html usr/share/doc/seq66/tutorial/tutorial_new_song.html usr/share/doc/seq66/tutorial/tutorial_other_features.html usr/share/doc/seq66/tutorial/tutorial_song_performance.html usr/share/man/ usr/share/man/man1/ usr/share/man/man1/seq66.1.gz usr/share/man/man1/seq66cli.1.gz usr/share/man/man1/sequencer66.1.gz usr/share/pixmaps/ usr/share/pixmaps/qseq66.png usr/share/pixmaps/route66.xpm usr/share/pixmaps/route66rwb-32x32.xpm usr/share/pixmaps/route66rwb-64x64.xpm usr/share/seq66-0.99/ usr/share/seq66-0.99/icons/ usr/share/seq66-0.99/icons/hicolor/ usr/share/seq66-0.99/icons/hicolor/128x128/ usr/share/seq66-0.99/icons/hicolor/128x128/apps/ usr/share/seq66-0.99/icons/hicolor/128x128/apps/qseq66.png usr/share/seq66-0.99/icons/hicolor/16x16/ usr/share/seq66-0.99/icons/hicolor/16x16/apps/ usr/share/seq66-0.99/icons/hicolor/16x16/apps/qseq66.png usr/share/seq66-0.99/icons/hicolor/24x24/ usr/share/seq66-0.99/icons/hicolor/24x24/apps/ usr/share/seq66-0.99/icons/hicolor/24x24/apps/qseq66.png usr/share/seq66-0.99/icons/hicolor/256x256/ usr/share/seq66-0.99/icons/hicolor/256x256/apps/ usr/share/seq66-0.99/icons/hicolor/256x256/apps/qseq66.png usr/share/seq66-0.99/icons/hicolor/32x32/ usr/share/seq66-0.99/icons/hicolor/32x32/apps/ usr/share/seq66-0.99/icons/hicolor/32x32/apps/qseq66.png usr/share/seq66-0.99/icons/hicolor/32x32/apps/qseq66rwb.png usr/share/seq66-0.99/icons/hicolor/48x48/ usr/share/seq66-0.99/icons/hicolor/48x48/apps/ usr/share/seq66-0.99/icons/hicolor/48x48/apps/qseq66.png usr/share/seq66-0.99/icons/hicolor/64x64/ usr/share/seq66-0.99/icons/hicolor/64x64/apps/ usr/share/seq66-0.99/icons/hicolor/64x64/apps/qseq66.png usr/share/seq66-0.99/icons/hicolor/64x64/apps/seq66rwb.png usr/share/seq66-0.99/license.text usr/share/seq66-0.99/linux/ usr/share/seq66-0.99/linux/alsa_ports.rc usr/share/seq66-0.99/linux/ca_ports.rc usr/share/seq66-0.99/linux/jack_ports.rc usr/share/seq66-0.99/linux/jack/ usr/share/seq66-0.99/linux/jack/jack_portmaps.rc usr/share/seq66-0.99/linux/jack/pulseaudio/ usr/share/seq66-0.99/linux/jack/pulseaudio/jack-post-start.sh usr/share/seq66-0.99/linux/jack/pulseaudio/jack-post-stop.sh usr/share/seq66-0.99/linux/jack/pulseaudio/jack-pre-start.sh usr/share/seq66-0.99/linux/jack/pulseaudio/jack-pre-stop.sh usr/share/seq66-0.99/linux/jack/pulseaudio/repulse usr/share/seq66-0.99/linux/jack/README usr/share/seq66-0.99/linux/jack/startjack usr/share/seq66-0.99/linux/jack/startqjack usr/share/seq66-0.99/linux/macros-APC40-mk2.ctrl usr/share/seq66-0.99/linux/macros-launchpad-mini.ctrl usr/share/seq66-0.99/linux/macros-launchpad-pro-mk3.ctrl usr/share/seq66-0.99/linux/macros-MMC.ctrl usr/share/seq66-0.99/linux/qseq66-alt-gray.palette usr/share/seq66-0.99/linux/qseq66-azerty-fr.keymap usr/share/seq66-0.99/linux/qseq66-azerty.ctrl usr/share/seq66-0.99/linux/qseq66-default.palette usr/share/seq66-0.99/linux/qseq66-gray.palette usr/share/seq66-0.99/linux/qseq66-lp-mini-8x8.ctrl usr/share/seq66-0.99/linux/qseq66-lp-mini-alt.ctrl usr/share/seq66-0.99/linux/qseq66-lp-mini-swapped.ctrl usr/share/seq66-0.99/linux/qseq66-lp-mini.ctrl usr/share/seq66-0.99/linux/qseq66-qwerty-us.keymap usr/share/seq66-0.99/linux/qseq66-swapped.ctrl usr/share/seq66-0.99/linux/qseq66.ctrl usr/share/seq66-0.99/linux/qseq66.drums usr/share/seq66-0.99/linux/qseq66.mutes usr/share/seq66-0.99/linux/qseq66.palette usr/share/seq66-0.99/linux/qseq66.playlist usr/share/seq66-0.99/linux/qseq66.rc usr/share/seq66-0.99/linux/qseq66.rc.legacy usr/share/seq66-0.99/linux/qseq66.usr usr/share/seq66-0.99/linux/yoshimi-b4uacuse-gm.state usr/share/seq66-0.99/midi/ usr/share/seq66-0.99/midi/16-blank-patterns.midi usr/share/seq66-0.99/midi/b4uacufm.mid usr/share/seq66-0.99/midi/b4uacuse-gm-patchless.midi usr/share/seq66-0.99/midi/Carpet_of_the_Sun.text usr/share/seq66-0.99/midi/carptsun-4.midi usr/share/seq66-0.99/midi/carptsun.midi usr/share/seq66-0.99/midi/Chameleon-HHancock-Ov.midi usr/share/seq66-0.99/midi/colours.midi usr/share/seq66-0.99/midi/EE-qsynth-presets.conf usr/share/seq66-0.99/midi/FM/ usr/share/seq66-0.99/midi/FM/brecluse.mid usr/share/seq66-0.99/midi/FM/carptsun.mid usr/share/seq66-0.99/midi/FM/cbflitfm.mid usr/share/seq66-0.99/midi/FM/dasmodel.mid usr/share/seq66-0.99/midi/FM/grntamb.mid usr/share/seq66-0.99/midi/FM/hapwandr.mid usr/share/seq66-0.99/midi/FM/judyblue.mid usr/share/seq66-0.99/midi/FM/k_seq11.mid usr/share/seq66-0.99/midi/FM/longhair.mid usr/share/seq66-0.99/midi/FM/marraksh.mid usr/share/seq66-0.99/midi/FM/oxyg4bfm.mid usr/share/seq66-0.99/midi/FM/pirates.mid usr/share/seq66-0.99/midi/FM/pss680.mid usr/share/seq66-0.99/midi/FM/qufrency.mid usr/share/seq66-0.99/midi/FM/stdemo3.mid usr/share/seq66-0.99/midi/FM/viceuk.mid usr/share/seq66-0.99/midi/FM/wallstsm.mid usr/share/seq66-0.99/midi/If_You_Could_Read_My_Mind.mid usr/share/seq66-0.99/midi/Kraftwerk-Europe_Endless-reconstructed.midi usr/share/seq66-0.99/midi/Kraftwerk-Europe_Endless.asc usr/share/seq66-0.99/midi/Kraftwerk-Europe_Endless.text usr/share/seq66-0.99/midi/metro.midi usr/share/seq66-0.99/midi/Peter_Gunn-reconstructed.midi usr/share/seq66-0.99/midi/Peter_Gunn.text usr/share/seq66-0.99/midi/PSS-790/ usr/share/seq66-0.99/midi/PSS-790/ancestor.mid usr/share/seq66-0.99/midi/PSS-790/carptsun.mid usr/share/seq66-0.99/midi/PSS-790/cbflite.mid usr/share/seq66-0.99/midi/PSS-790/old_love.mid usr/share/seq66-0.99/midi/README usr/share/seq66-0.99/pixmaps/ usr/share/seq66-0.99/pixmaps/route66.png usr/share/seq66-0.99/pixmaps/route66rwb-32x32.png usr/share/seq66-0.99/pixmaps/route66rwb-64x64.png usr/share/seq66-0.99/readme.text usr/share/seq66-0.99/readme.windows usr/share/seq66-0.99/samples/ usr/share/seq66-0.99/samples/ca_midi.playlist usr/share/seq66-0.99/samples/dark-gradient.qss usr/share/seq66-0.99/samples/flat-rounded.qss usr/share/seq66-0.99/samples/GM_DD-11.drums usr/share/seq66-0.99/samples/GM_PSS-790_Multi.ini usr/share/seq66-0.99/samples/GM_PSS-790.drums usr/share/seq66-0.99/samples/grey-ghost.qss usr/share/seq66-0.99/samples/incrypt-66.palette usr/share/seq66-0.99/samples/incrypt-66.qss usr/share/seq66-0.99/samples/nanomap.ctrl usr/share/seq66-0.99/samples/perstfic-66.palette usr/share/seq66-0.99/samples/perstfic-66.qss usr/share/seq66-0.99/samples/qseq66-sample.palette usr/share/seq66-0.99/samples/qseq66.qss usr/share/seq66-0.99/samples/sample.playlist usr/share/seq66-0.99/samples/sample.usr usr/share/seq66-0.99/samples/sessions.rc usr/share/seq66-0.99/samples/textfix.qss usr/share/seq66-0.99/seq66cli/ usr/share/seq66-0.99/seq66cli/seq66cli.ctrl usr/share/seq66-0.99/seq66cli/seq66cli.drums usr/share/seq66-0.99/seq66cli/seq66cli.mutes usr/share/seq66-0.99/seq66cli/seq66cli.playlist usr/share/seq66-0.99/seq66cli/seq66cli.rc usr/share/seq66-0.99/seq66cli/seq66cli.usr usr/share/seq66-0.99/win/ usr/share/seq66-0.99/win/dark-theme.qss usr/share/seq66-0.99/win/qpseq66.ctrl usr/share/seq66-0.99/win/qpseq66.drums usr/share/seq66-0.99/win/qpseq66.mutes usr/share/seq66-0.99/win/qpseq66.palette usr/share/seq66-0.99/win/qpseq66.playlist usr/share/seq66-0.99/win/qpseq66.rc usr/share/seq66-0.99/win/qpseq66.usr usr/share/seq66-0.99/win/win_midi.playlist usr/share/seq66-0.99/wrk/ usr/share/seq66-0.99/wrk/longhair.midi usr/share/seq66-0.99/wrk/longhair.wrk usr/share/seq66-0.99/wrk/oxygen4b.wrk
anysfzlint0.1.5-4A linter and parser for SFZ files
Close

sfzlint 0.1.5-4


Architecture:any
Base Package:sfzlint (PKGBUILD)
Description:A linter and parser for SFZ files
Upstream URL:https://github.com/jisaacstone/sfzlint
Groups:pro-audio
Download Size:55.8 kB (Download)
Installed Size:301.0 kB
License:MIT
Build Date:2024-05-01 23:02 UTC
Dependencies:python-appdirs
python-lark-parser
python-yaml
python-build (make)
python-installer (make)
python-setuptools (make)
python-wheel (make)
python-pytest (check)
Package Contents
usr/ usr/bin/ usr/bin/sfzlint usr/bin/sfzlist usr/lib/ usr/lib/python3.12/ usr/lib/python3.12/site-packages/ usr/lib/python3.12/site-packages/sfzlint-0.1.4.dist-info/ usr/lib/python3.12/site-packages/sfzlint-0.1.4.dist-info/entry_points.txt usr/lib/python3.12/site-packages/sfzlint-0.1.4.dist-info/LICENSE usr/lib/python3.12/site-packages/sfzlint-0.1.4.dist-info/METADATA usr/lib/python3.12/site-packages/sfzlint-0.1.4.dist-info/RECORD usr/lib/python3.12/site-packages/sfzlint-0.1.4.dist-info/top_level.txt usr/lib/python3.12/site-packages/sfzlint-0.1.4.dist-info/WHEEL usr/lib/python3.12/site-packages/sfzlint/ usr/lib/python3.12/site-packages/sfzlint/__init__.py usr/lib/python3.12/site-packages/sfzlint/__pycache__/ usr/lib/python3.12/site-packages/sfzlint/__pycache__/__init__.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/sfzlint/__pycache__/__init__.cpython-312.pyc usr/lib/python3.12/site-packages/sfzlint/__pycache__/cli.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/sfzlint/__pycache__/cli.cpython-312.pyc usr/lib/python3.12/site-packages/sfzlint/__pycache__/errors.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/sfzlint/__pycache__/errors.cpython-312.pyc usr/lib/python3.12/site-packages/sfzlint/__pycache__/headers.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/sfzlint/__pycache__/headers.cpython-312.pyc usr/lib/python3.12/site-packages/sfzlint/__pycache__/lint.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/sfzlint/__pycache__/lint.cpython-312.pyc usr/lib/python3.12/site-packages/sfzlint/__pycache__/opcodes.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/sfzlint/__pycache__/opcodes.cpython-312.pyc usr/lib/python3.12/site-packages/sfzlint/__pycache__/parser.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/sfzlint/__pycache__/parser.cpython-312.pyc usr/lib/python3.12/site-packages/sfzlint/__pycache__/settings.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/sfzlint/__pycache__/settings.cpython-312.pyc usr/lib/python3.12/site-packages/sfzlint/__pycache__/spec.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/sfzlint/__pycache__/spec.cpython-312.pyc usr/lib/python3.12/site-packages/sfzlint/__pycache__/validators.cpython-312.opt-1.pyc usr/lib/python3.12/site-packages/sfzlint/__pycache__/validators.cpython-312.pyc usr/lib/python3.12/site-packages/sfzlint/cli.py usr/lib/python3.12/site-packages/sfzlint/errors.py usr/lib/python3.12/site-packages/sfzlint/headers.py usr/lib/python3.12/site-packages/sfzlint/lint.py usr/lib/python3.12/site-packages/sfzlint/opcodes.py usr/lib/python3.12/site-packages/sfzlint/parser.py usr/lib/python3.12/site-packages/sfzlint/settings.py usr/lib/python3.12/site-packages/sfzlint/sfz.lark usr/lib/python3.12/site-packages/sfzlint/spec.py usr/lib/python3.12/site-packages/sfzlint/syntax.yml usr/lib/python3.12/site-packages/sfzlint/validators.py usr/share/ usr/share/doc/ usr/share/doc/sfzlint/ usr/share/doc/sfzlint/README.md usr/share/doc/sfzlint/TODO.txt usr/share/licenses/ usr/share/licenses/sfzlint/ usr/share/licenses/sfzlint/LICENSE
x86_64simplescreenrecorder0.4.4-3A feature-rich screen recorder that supports X11 and OpenGL
Close

simplescreenrecorder 0.4.4-3


Architecture:x86_64
Base Package:simplescreenrecorder (PKGBUILD)
Description:A feature-rich screen recorder that supports X11 and OpenGL
Upstream URL:https://www.maartenbaert.be/simplescreenrecorder
Download Size:1.7 MB (Download)
Installed Size:4.1 MB
License:GPL3
Build Date:2023-06-21 00:47 UTC
Dependencies:alsa-lib
desktop-file-utils
ffmpeg
glu
gtk-update-icon-cache
jack
libgl
libpulse
libx11
libxext
libxfixes
libxi
libxinerama
qt5-base
qt5-x11extras
cmake (make)
qt5-tools (make)
Package Contents
usr/ usr/bin/ usr/bin/simplescreenrecorder usr/bin/ssr-glinject usr/lib/ usr/lib/libssr-glinject.so usr/share/ usr/share/applications/ usr/share/applications/simplescreenrecorder.desktop usr/share/icons/ usr/share/icons/hicolor/ usr/share/icons/hicolor/128x128/ usr/share/icons/hicolor/128x128/apps/ usr/share/icons/hicolor/128x128/apps/simplescreenrecorder-error.png usr/share/icons/hicolor/128x128/apps/simplescreenrecorder-idle.png usr/share/icons/hicolor/128x128/apps/simplescreenrecorder-paused.png usr/share/icons/hicolor/128x128/apps/simplescreenrecorder-recording.png usr/share/icons/hicolor/128x128/apps/simplescreenrecorder.png usr/share/icons/hicolor/16x16/ usr/share/icons/hicolor/16x16/apps/ usr/share/icons/hicolor/16x16/apps/simplescreenrecorder-error.png usr/share/icons/hicolor/16x16/apps/simplescreenrecorder-idle.png usr/share/icons/hicolor/16x16/apps/simplescreenrecorder-paused.png usr/share/icons/hicolor/16x16/apps/simplescreenrecorder-recording.png usr/share/icons/hicolor/16x16/apps/simplescreenrecorder.png usr/share/icons/hicolor/192x192/ usr/share/icons/hicolor/192x192/apps/ usr/share/icons/hicolor/192x192/apps/simplescreenrecorder-error.png usr/share/icons/hicolor/192x192/apps/simplescreenrecorder-idle.png usr/share/icons/hicolor/192x192/apps/simplescreenrecorder-paused.png usr/share/icons/hicolor/192x192/apps/simplescreenrecorder-recording.png usr/share/icons/hicolor/192x192/apps/simplescreenrecorder.png usr/share/icons/hicolor/22x22/ usr/share/icons/hicolor/22x22/apps/ usr/share/icons/hicolor/22x22/apps/simplescreenrecorder-error.png usr/share/icons/hicolor/22x22/apps/simplescreenrecorder-idle.png usr/share/icons/hicolor/22x22/apps/simplescreenrecorder-paused.png usr/share/icons/hicolor/22x22/apps/simplescreenrecorder-recording.png usr/share/icons/hicolor/22x22/apps/simplescreenrecorder.png usr/share/icons/hicolor/24x24/ usr/share/icons/hicolor/24x24/apps/ usr/share/icons/hicolor/24x24/apps/simplescreenrecorder-error.png usr/share/icons/hicolor/24x24/apps/simplescreenrecorder-idle.png usr/share/icons/hicolor/24x24/apps/simplescreenrecorder-paused.png usr/share/icons/hicolor/24x24/apps/simplescreenrecorder-recording.png usr/share/icons/hicolor/24x24/apps/simplescreenrecorder.png usr/share/icons/hicolor/256x256/ usr/share/icons/hicolor/256x256/apps/ usr/share/icons/hicolor/256x256/apps/simplescreenrecorder-error.png usr/share/icons/hicolor/256x256/apps/simplescreenrecorder-idle.png usr/share/icons/hicolor/256x256/apps/simplescreenrecorder-paused.png usr/share/icons/hicolor/256x256/apps/simplescreenrecorder-recording.png usr/share/icons/hicolor/256x256/apps/simplescreenrecorder.png usr/share/icons/hicolor/32x32/ usr/share/icons/hicolor/32x32/apps/ usr/share/icons/hicolor/32x32/apps/simplescreenrecorder-error.png usr/share/icons/hicolor/32x32/apps/simplescreenrecorder-idle.png usr/share/icons/hicolor/32x32/apps/simplescreenrecorder-paused.png usr/share/icons/hicolor/32x32/apps/simplescreenrecorder-recording.png usr/share/icons/hicolor/32x32/apps/simplescreenrecorder.png usr/share/icons/hicolor/48x48/ usr/share/icons/hicolor/48x48/apps/ usr/share/icons/hicolor/48x48/apps/simplescreenrecorder-error.png usr/share/icons/hicolor/48x48/apps/simplescreenrecorder-idle.png usr/share/icons/hicolor/48x48/apps/simplescreenrecorder-paused.png usr/share/icons/hicolor/48x48/apps/simplescreenrecorder-recording.png usr/share/icons/hicolor/48x48/apps/simplescreenrecorder.png usr/share/icons/hicolor/64x64/ usr/share/icons/hicolor/64x64/apps/ usr/share/icons/hicolor/64x64/apps/simplescreenrecorder-error.png usr/share/icons/hicolor/64x64/apps/simplescreenrecorder-idle.png usr/share/icons/hicolor/64x64/apps/simplescreenrecorder-paused.png usr/share/icons/hicolor/64x64/apps/simplescreenrecorder-recording.png usr/share/icons/hicolor/64x64/apps/simplescreenrecorder.png usr/share/icons/hicolor/96x96/ usr/share/icons/hicolor/96x96/apps/ usr/share/icons/hicolor/96x96/apps/simplescreenrecorder-error.png usr/share/icons/hicolor/96x96/apps/simplescreenrecorder-idle.png usr/share/icons/hicolor/96x96/apps/simplescreenrecorder-paused.png usr/share/icons/hicolor/96x96/apps/simplescreenrecorder-recording.png usr/share/icons/hicolor/96x96/apps/simplescreenrecorder.png usr/share/icons/hicolor/scalable/ usr/share/icons/hicolor/scalable/apps/ usr/share/icons/hicolor/scalable/apps/simplescreenrecorder-error.svg usr/share/icons/hicolor/scalable/apps/simplescreenrecorder-idle.svg usr/share/icons/hicolor/scalable/apps/simplescreenrecorder-paused.svg usr/share/icons/hicolor/scalable/apps/simplescreenrecorder-recording.svg usr/share/icons/hicolor/scalable/apps/simplescreenrecorder.svg usr/share/man/ usr/share/man/man1/ usr/share/man/man1/simplescreenrecorder.1.gz usr/share/man/man1/ssr-glinject.1.gz usr/share/metainfo/ usr/share/metainfo/simplescreenrecorder.metainfo.xml usr/share/simplescreenrecorder/ usr/share/simplescreenrecorder/output-profiles/ usr/share/simplescreenrecorder/output-profiles/High%20Quality%20Intermediate.conf usr/share/simplescreenrecorder/output-profiles/Live%20Stream%20%281000kbps%29.conf usr/share/simplescreenrecorder/output-profiles/Live%20Stream%20%282000kbps%29.conf usr/share/simplescreenrecorder/output-profiles/Live%20Stream%20%283000kbps%29.conf usr/share/simplescreenrecorder/output-profiles/YouTube.conf usr/share/simplescreenrecorder/translations/ usr/share/simplescreenrecorder/translations/simplescreenrecorder_ar.qm usr/share/simplescreenrecorder/translations/simplescreenrecorder_bg.qm usr/share/simplescreenrecorder/translations/simplescreenrecorder_cs.qm usr/share/simplescreenrecorder/translations/simplescreenrecorder_de.qm usr/share/simplescreenrecorder/translations/simplescreenrecorder_el.qm usr/share/simplescreenrecorder/translations/simplescreenrecorder_es.qm usr/share/simplescreenrecorder/translations/simplescreenrecorder_fr.qm usr/share/simplescreenrecorder/translations/simplescreenrecorder_gl.qm usr/share/simplescreenrecorder/translations/simplescreenrecorder_he.qm usr/share/simplescreenrecorder/translations/simplescreenrecorder_hu.qm usr/share/simplescreenrecorder/translations/simplescreenrecorder_id.qm usr/share/simplescreenrecorder/translations/simplescreenrecorder_it.qm usr/share/simplescreenrecorder/translations/simplescreenrecorder_ja.qm usr/share/simplescreenrecorder/translations/simplescreenrecorder_lt.qm usr/share/simplescreenrecorder/translations/simplescreenrecorder_nl.qm usr/share/simplescreenrecorder/translations/simplescreenrecorder_pl.qm usr/share/simplescreenrecorder/translations/simplescreenrecorder_pt_BR.qm usr/share/simplescreenrecorder/translations/simplescreenrecorder_ru.qm usr/share/simplescreenrecorder/translations/simplescreenrecorder_sr.qm usr/share/simplescreenrecorder/translations/simplescreenrecorder_sv.qm usr/share/simplescreenrecorder/translations/simplescreenrecorder_uk.qm usr/share/simplescreenrecorder/translations/simplescreenrecorder_zh_CN.qm usr/share/simplescreenrecorder/translations/simplescreenrecorder_zh_TW.qm
aarch64stomptuner0.5-1A multi-format instrument strobe tuner plugin and JACK application
Close

stomptuner 0.5-1


Architecture:aarch64
Base Package:stomptuner (PKGBUILD)
Description:A multi-format instrument strobe tuner plugin and JACK application
Upstream URL:https://github.com/brummer10/StompTuner
Groups:clap-plugins
lv2-plugins
pro-audio
vst-plugins
vst3-plugins
Download Size:309.7 kB (Download)
Installed Size:1.9 MB
License:GPL-3.0-or-later
Build Date:2024-06-15 01:45 UTC
Dependencies:cairo
dbus
fftw
gcc-libs
glibc
libcairo.so=2-64
libdbus-1.so=3-64
libfftw3f.so=3-64
libx11
libxcursor
libxext
libxrandr
clap-host: for loading the CLAP format plugins (optional)
jack: for using the stand-alone programs with JACK (optional)
lv2-host: for loading the LV2 format plugins (optional)
vst-host: for loading the VST2 format plugins (optional)
vst3-host: for loading the VST3 format plugins (optional)
git (make)
Package Contents
usr/ usr/bin/ usr/bin/stomptuner usr/lib/ usr/lib/clap/ usr/lib/clap/stomptuner.clap usr/lib/lv2/ usr/lib/lv2/stomptuner.lv2/ usr/lib/lv2/stomptuner.lv2/manifest.ttl usr/lib/lv2/stomptuner.lv2/stomptuner_dsp.so usr/lib/lv2/stomptuner.lv2/stomptuner_dsp.ttl usr/lib/lv2/stomptuner.lv2/stomptuner_ui.so usr/lib/lv2/stomptuner.lv2/stomptuner_ui.ttl usr/lib/vst/ usr/lib/vst/stomptuner-vst.so usr/lib/vst3/ usr/lib/vst3/stomptuner.vst3/ usr/lib/vst3/stomptuner.vst3/Contents/ usr/lib/vst3/stomptuner.vst3/Contents/aarch64-linux/ usr/lib/vst3/stomptuner.vst3/Contents/aarch64-linux/stomptuner.so
x86_64stomptuner0.5-1A multi-format instrument strobe tuner plugin and JACK application
Close

stomptuner 0.5-1


Architecture:x86_64
Base Package:stomptuner (PKGBUILD)
Description:A multi-format instrument strobe tuner plugin and JACK application
Upstream URL:https://github.com/brummer10/StompTuner
Groups:clap-plugins
lv2-plugins
pro-audio
vst-plugins
vst3-plugins
Download Size:331.8 kB (Download)
Installed Size:1.5 MB
License:GPL-3.0-or-later
Build Date:2024-06-15 01:45 UTC
Dependencies:cairo
dbus
fftw
gcc-libs
glibc
libcairo.so=2-64
libdbus-1.so=3-64
libfftw3f.so=3-64
libx11
libxcursor
libxext
libxrandr
clap-host: for loading the CLAP format plugins (optional)
jack: for using the stand-alone programs with JACK (optional)
lv2-host: for loading the LV2 format plugins (optional)
vst-host: for loading the VST2 format plugins (optional)
vst3-host: for loading the VST3 format plugins (optional)
git (make)
Package Contents
usr/ usr/bin/ usr/bin/stomptuner usr/lib/ usr/lib/clap/ usr/lib/clap/stomptuner.clap usr/lib/lv2/ usr/lib/lv2/stomptuner.lv2/ usr/lib/lv2/stomptuner.lv2/manifest.ttl usr/lib/lv2/stomptuner.lv2/stomptuner_dsp.so usr/lib/lv2/stomptuner.lv2/stomptuner_dsp.ttl usr/lib/lv2/stomptuner.lv2/stomptuner_ui.so usr/lib/lv2/stomptuner.lv2/stomptuner_ui.ttl usr/lib/vst/ usr/lib/vst/stomptuner-vst.so usr/lib/vst3/ usr/lib/vst3/stomptuner.vst3/ usr/lib/vst3/stomptuner.vst3/Contents/ usr/lib/vst3/stomptuner.vst3/Contents/x86_64-linux/ usr/lib/vst3/stomptuner.vst3/Contents/x86_64-linux/stomptuner.so
aarch64stone-phaser0.1.2-3A classic analog phaser effect LADSPA, LV2 and VST2 plugin
Close

stone-phaser 0.1.2-3


Architecture:aarch64
Base Package:stone-phaser (PKGBUILD)
Description:A classic analog phaser effect LADSPA, LV2 and VST2 plugin
Upstream URL:https://github.com/jpcima/stone-phaser
Groups:ladspa-plugins
lv2-plugins
pro-audio
vst-plugins
Download Size:1.0 MB (Download)
Installed Size:3.7 MB
License:Boost
Build Date:2022-07-08 21:38 UTC
Dependencies:cairo
gcc-libs
glibc
ladspa-host: for LADSPA plugin (optional)
lv2-host: for LV2 plugin (optional)
vst-host: for VST plugin (optional)
libglvnd (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/ladspa/ usr/lib/ladspa/stone-phaser-ladspa.so usr/lib/ladspa/stone-phaser-stereo-ladspa.so usr/lib/lv2/ usr/lib/lv2/stone-phaser-stereo.lv2/ usr/lib/lv2/stone-phaser-stereo.lv2/manifest.ttl usr/lib/lv2/stone-phaser-stereo.lv2/modgui.ttl usr/lib/lv2/stone-phaser-stereo.lv2/modgui/ usr/lib/lv2/stone-phaser-stereo.lv2/modgui/icon-stone-phaser.html usr/lib/lv2/stone-phaser-stereo.lv2/modgui/knobs/ usr/lib/lv2/stone-phaser-stereo.lv2/modgui/knobs/stone/ usr/lib/lv2/stone-phaser-stereo.lv2/modgui/knobs/stone/stone.png usr/lib/lv2/stone-phaser-stereo.lv2/modgui/pedals/ usr/lib/lv2/stone-phaser-stereo.lv2/modgui/pedals/footswitch.png usr/lib/lv2/stone-phaser-stereo.lv2/modgui/pedals/stone/ usr/lib/lv2/stone-phaser-stereo.lv2/modgui/pedals/stone/gray.png usr/lib/lv2/stone-phaser-stereo.lv2/modgui/screenshot-stone-phaser.png usr/lib/lv2/stone-phaser-stereo.lv2/modgui/stylesheet-stone-phaser.css usr/lib/lv2/stone-phaser-stereo.lv2/modgui/switches/ usr/lib/lv2/stone-phaser-stereo.lv2/modgui/switches/stone/ usr/lib/lv2/stone-phaser-stereo.lv2/modgui/switches/stone/stone.png usr/lib/lv2/stone-phaser-stereo.lv2/modgui/thumbnail-stone-phaser.png usr/lib/lv2/stone-phaser-stereo.lv2/stone-phaser-stereo_dsp.so usr/lib/lv2/stone-phaser-stereo.lv2/stone-phaser-stereo_dsp.ttl usr/lib/lv2/stone-phaser-stereo.lv2/stone-phaser-stereo_ui.so usr/lib/lv2/stone-phaser-stereo.lv2/stone-phaser-stereo_ui.ttl usr/lib/lv2/stone-phaser.lv2/ usr/lib/lv2/stone-phaser.lv2/manifest.ttl usr/lib/lv2/stone-phaser.lv2/modgui.ttl usr/lib/lv2/stone-phaser.lv2/modgui/ usr/lib/lv2/stone-phaser.lv2/modgui/icon-stone-phaser.html usr/lib/lv2/stone-phaser.lv2/modgui/knobs/ usr/lib/lv2/stone-phaser.lv2/modgui/knobs/stone/ usr/lib/lv2/stone-phaser.lv2/modgui/knobs/stone/stone.png usr/lib/lv2/stone-phaser.lv2/modgui/pedals/ usr/lib/lv2/stone-phaser.lv2/modgui/pedals/footswitch.png usr/lib/lv2/stone-phaser.lv2/modgui/pedals/stone/ usr/lib/lv2/stone-phaser.lv2/modgui/pedals/stone/gray.png usr/lib/lv2/stone-phaser.lv2/modgui/screenshot-stone-phaser.png usr/lib/lv2/stone-phaser.lv2/modgui/stylesheet-stone-phaser.css usr/lib/lv2/stone-phaser.lv2/modgui/switches/ usr/lib/lv2/stone-phaser.lv2/modgui/switches/stone/ usr/lib/lv2/stone-phaser.lv2/modgui/switches/stone/stone.png usr/lib/lv2/stone-phaser.lv2/modgui/thumbnail-stone-phaser.png usr/lib/lv2/stone-phaser.lv2/stone-phaser_dsp.so usr/lib/lv2/stone-phaser.lv2/stone-phaser_dsp.ttl usr/lib/lv2/stone-phaser.lv2/stone-phaser_ui.so usr/lib/lv2/stone-phaser.lv2/stone-phaser_ui.ttl usr/lib/vst/ usr/lib/vst/stone-phaser-stereo-vst.so usr/lib/vst/stone-phaser-vst.so
x86_64stone-phaser0.1.2-3A classic analog phaser effect LADSPA, LV2 and VST2 plugin
Close

stone-phaser 0.1.2-3


Architecture:x86_64
Base Package:stone-phaser (PKGBUILD)
Description:A classic analog phaser effect LADSPA, LV2 and VST2 plugin
Upstream URL:https://github.com/jpcima/stone-phaser
Groups:ladspa-plugins
lv2-plugins
pro-audio
vst-plugins
Download Size:1.1 MB (Download)
Installed Size:3.7 MB
License:Boost
Build Date:2022-07-08 21:38 UTC
Dependencies:cairo
gcc-libs
glibc
ladspa-host: for LADSPA plugin (optional)
lv2-host: for LV2 plugin (optional)
vst-host: for VST plugin (optional)
libglvnd (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/ladspa/ usr/lib/ladspa/stone-phaser-ladspa.so usr/lib/ladspa/stone-phaser-stereo-ladspa.so usr/lib/lv2/ usr/lib/lv2/stone-phaser-stereo.lv2/ usr/lib/lv2/stone-phaser-stereo.lv2/manifest.ttl usr/lib/lv2/stone-phaser-stereo.lv2/modgui.ttl usr/lib/lv2/stone-phaser-stereo.lv2/modgui/ usr/lib/lv2/stone-phaser-stereo.lv2/modgui/icon-stone-phaser.html usr/lib/lv2/stone-phaser-stereo.lv2/modgui/knobs/ usr/lib/lv2/stone-phaser-stereo.lv2/modgui/knobs/stone/ usr/lib/lv2/stone-phaser-stereo.lv2/modgui/knobs/stone/stone.png usr/lib/lv2/stone-phaser-stereo.lv2/modgui/pedals/ usr/lib/lv2/stone-phaser-stereo.lv2/modgui/pedals/footswitch.png usr/lib/lv2/stone-phaser-stereo.lv2/modgui/pedals/stone/ usr/lib/lv2/stone-phaser-stereo.lv2/modgui/pedals/stone/gray.png usr/lib/lv2/stone-phaser-stereo.lv2/modgui/screenshot-stone-phaser.png usr/lib/lv2/stone-phaser-stereo.lv2/modgui/stylesheet-stone-phaser.css usr/lib/lv2/stone-phaser-stereo.lv2/modgui/switches/ usr/lib/lv2/stone-phaser-stereo.lv2/modgui/switches/stone/ usr/lib/lv2/stone-phaser-stereo.lv2/modgui/switches/stone/stone.png usr/lib/lv2/stone-phaser-stereo.lv2/modgui/thumbnail-stone-phaser.png usr/lib/lv2/stone-phaser-stereo.lv2/stone-phaser-stereo_dsp.so usr/lib/lv2/stone-phaser-stereo.lv2/stone-phaser-stereo_dsp.ttl usr/lib/lv2/stone-phaser-stereo.lv2/stone-phaser-stereo_ui.so usr/lib/lv2/stone-phaser-stereo.lv2/stone-phaser-stereo_ui.ttl usr/lib/lv2/stone-phaser.lv2/ usr/lib/lv2/stone-phaser.lv2/manifest.ttl usr/lib/lv2/stone-phaser.lv2/modgui.ttl usr/lib/lv2/stone-phaser.lv2/modgui/ usr/lib/lv2/stone-phaser.lv2/modgui/icon-stone-phaser.html usr/lib/lv2/stone-phaser.lv2/modgui/knobs/ usr/lib/lv2/stone-phaser.lv2/modgui/knobs/stone/ usr/lib/lv2/stone-phaser.lv2/modgui/knobs/stone/stone.png usr/lib/lv2/stone-phaser.lv2/modgui/pedals/ usr/lib/lv2/stone-phaser.lv2/modgui/pedals/footswitch.png usr/lib/lv2/stone-phaser.lv2/modgui/pedals/stone/ usr/lib/lv2/stone-phaser.lv2/modgui/pedals/stone/gray.png usr/lib/lv2/stone-phaser.lv2/modgui/screenshot-stone-phaser.png usr/lib/lv2/stone-phaser.lv2/modgui/stylesheet-stone-phaser.css usr/lib/lv2/stone-phaser.lv2/modgui/switches/ usr/lib/lv2/stone-phaser.lv2/modgui/switches/stone/ usr/lib/lv2/stone-phaser.lv2/modgui/switches/stone/stone.png usr/lib/lv2/stone-phaser.lv2/modgui/thumbnail-stone-phaser.png usr/lib/lv2/stone-phaser.lv2/stone-phaser_dsp.so usr/lib/lv2/stone-phaser.lv2/stone-phaser_dsp.ttl usr/lib/lv2/stone-phaser.lv2/stone-phaser_ui.so usr/lib/lv2/stone-phaser.lv2/stone-phaser_ui.ttl usr/lib/vst/ usr/lib/vst/stone-phaser-stereo-vst.so usr/lib/vst/stone-phaser-vst.so
aarch64string-machine0.1.0-4A virtual-analog string ensemble synthesizer LV2 and VST2 plugin
Close

string-machine 0.1.0-4


Architecture:aarch64
Base Package:string-machine (PKGBUILD)
Description:A virtual-analog string ensemble synthesizer LV2 and VST2 plugin
Upstream URL:https://github.com/jpcima/string-machine
Groups:lv2-plugins
pro-audio
vst-plugins
Download Size:140.9 kB (Download)
Installed Size:742.2 kB
License:Boost
Build Date:2023-11-28 00:05 UTC
Dependencies:gcc-libs
glibc
libcairo.so=2-64
libx11
lv2-host: for loading the LV2 format plugins (optional)
vst-host: for loading the VST2 format plugins (optional)
boost (make)
cairo (make)
libglvnd (make)
kxstudio-lv2-extensions (check)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/string-machine.lv2/ usr/lib/lv2/string-machine.lv2/manifest.ttl usr/lib/lv2/string-machine.lv2/presets.ttl usr/lib/lv2/string-machine.lv2/string-machine.so usr/lib/lv2/string-machine.lv2/string-machine.ttl usr/lib/vst/ usr/lib/vst/string-machine-vst.so
x86_64string-machine0.1.0-4A virtual-analog string ensemble synthesizer LV2 and VST2 plugin
Close

string-machine 0.1.0-4


Architecture:x86_64
Base Package:string-machine (PKGBUILD)
Description:A virtual-analog string ensemble synthesizer LV2 and VST2 plugin
Upstream URL:https://github.com/jpcima/string-machine
Groups:lv2-plugins
pro-audio
vst-plugins
Download Size:190.7 kB (Download)
Installed Size:741.5 kB
License:Boost
Build Date:2023-11-28 00:05 UTC
Dependencies:gcc-libs
glibc
libcairo.so=2-64
libx11
lv2-host: for loading the LV2 format plugins (optional)
vst-host: for loading the VST2 format plugins (optional)
boost (make)
cairo (make)
libglvnd (make)
kxstudio-lv2-extensions (check)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/string-machine.lv2/ usr/lib/lv2/string-machine.lv2/manifest.ttl usr/lib/lv2/string-machine.lv2/presets.ttl usr/lib/lv2/string-machine.lv2/string-machine.so usr/lib/lv2/string-machine.lv2/string-machine.ttl usr/lib/vst/ usr/lib/vst/string-machine-vst.so
aarch64swh-plugins.lv21.0.16-2LV2 port of Steve Harris' plugins suite
Close

swh-plugins.lv2 1.0.16-2


Architecture:aarch64
Base Package:swh-plugins.lv2 (PKGBUILD)
Description:LV2 port of Steve Harris' plugins suite
Upstream URL:http://plugin.org.uk/
Groups:lv2-plugins
pro-audio
Provides:swh-plugins
Download Size:203.1 kB (Download)
Installed Size:1.3 MB
License:GPL3
Build Date:2022-06-13 21:01 UTC
Dependencies:glibc
libfftw3f.so=3-64
lv2-host: for running the plugins (optional)
fftw (make)
libxslt (make)
lilv (check)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/a_law-swh.lv2/ usr/lib/lv2/a_law-swh.lv2/manifest.ttl usr/lib/lv2/a_law-swh.lv2/plugin-Linux.so usr/lib/lv2/a_law-swh.lv2/plugin.ttl usr/lib/lv2/alias-swh.lv2/ usr/lib/lv2/alias-swh.lv2/manifest.ttl usr/lib/lv2/alias-swh.lv2/plugin-Linux.so usr/lib/lv2/alias-swh.lv2/plugin.ttl usr/lib/lv2/allpass-swh.lv2/ usr/lib/lv2/allpass-swh.lv2/manifest.ttl usr/lib/lv2/allpass-swh.lv2/plugin-Linux.so usr/lib/lv2/allpass-swh.lv2/plugin.ttl usr/lib/lv2/am_pitchshift-swh.lv2/ usr/lib/lv2/am_pitchshift-swh.lv2/manifest.ttl usr/lib/lv2/am_pitchshift-swh.lv2/plugin-Linux.so usr/lib/lv2/am_pitchshift-swh.lv2/plugin.ttl usr/lib/lv2/amp-swh.lv2/ usr/lib/lv2/amp-swh.lv2/manifest.ttl usr/lib/lv2/amp-swh.lv2/plugin-Linux.so usr/lib/lv2/amp-swh.lv2/plugin.ttl usr/lib/lv2/analogue_osc-swh.lv2/ usr/lib/lv2/analogue_osc-swh.lv2/manifest.ttl usr/lib/lv2/analogue_osc-swh.lv2/plugin-Linux.so usr/lib/lv2/analogue_osc-swh.lv2/plugin.ttl usr/lib/lv2/bandpass_a_iir-swh.lv2/ usr/lib/lv2/bandpass_a_iir-swh.lv2/manifest.ttl usr/lib/lv2/bandpass_a_iir-swh.lv2/plugin-Linux.so usr/lib/lv2/bandpass_a_iir-swh.lv2/plugin.ttl usr/lib/lv2/bandpass_iir-swh.lv2/ usr/lib/lv2/bandpass_iir-swh.lv2/manifest.ttl usr/lib/lv2/bandpass_iir-swh.lv2/plugin-Linux.so usr/lib/lv2/bandpass_iir-swh.lv2/plugin.ttl usr/lib/lv2/bode_shifter_cv-swh.lv2/ usr/lib/lv2/bode_shifter_cv-swh.lv2/manifest.ttl usr/lib/lv2/bode_shifter_cv-swh.lv2/plugin-Linux.so usr/lib/lv2/bode_shifter_cv-swh.lv2/plugin.ttl usr/lib/lv2/bode_shifter-swh.lv2/ usr/lib/lv2/bode_shifter-swh.lv2/manifest.ttl usr/lib/lv2/bode_shifter-swh.lv2/plugin-Linux.so usr/lib/lv2/bode_shifter-swh.lv2/plugin.ttl usr/lib/lv2/butterworth-swh.lv2/ usr/lib/lv2/butterworth-swh.lv2/manifest.ttl usr/lib/lv2/butterworth-swh.lv2/plugin-Linux.so usr/lib/lv2/butterworth-swh.lv2/plugin.ttl usr/lib/lv2/chebstortion-swh.lv2/ usr/lib/lv2/chebstortion-swh.lv2/manifest.ttl usr/lib/lv2/chebstortion-swh.lv2/plugin-Linux.so usr/lib/lv2/chebstortion-swh.lv2/plugin.ttl usr/lib/lv2/comb_splitter-swh.lv2/ usr/lib/lv2/comb_splitter-swh.lv2/manifest.ttl usr/lib/lv2/comb_splitter-swh.lv2/plugin-Linux.so usr/lib/lv2/comb_splitter-swh.lv2/plugin.ttl usr/lib/lv2/comb-swh.lv2/ usr/lib/lv2/comb-swh.lv2/manifest.ttl usr/lib/lv2/comb-swh.lv2/plugin-Linux.so usr/lib/lv2/comb-swh.lv2/plugin.ttl usr/lib/lv2/const-swh.lv2/ usr/lib/lv2/const-swh.lv2/manifest.ttl usr/lib/lv2/const-swh.lv2/plugin-Linux.so usr/lib/lv2/const-swh.lv2/plugin.ttl usr/lib/lv2/crossover_dist-swh.lv2/ usr/lib/lv2/crossover_dist-swh.lv2/manifest.ttl usr/lib/lv2/crossover_dist-swh.lv2/plugin-Linux.so usr/lib/lv2/crossover_dist-swh.lv2/plugin.ttl usr/lib/lv2/dc_remove-swh.lv2/ usr/lib/lv2/dc_remove-swh.lv2/manifest.ttl usr/lib/lv2/dc_remove-swh.lv2/plugin-Linux.so usr/lib/lv2/dc_remove-swh.lv2/plugin.ttl usr/lib/lv2/decay-swh.lv2/ usr/lib/lv2/decay-swh.lv2/manifest.ttl usr/lib/lv2/decay-swh.lv2/plugin-Linux.so usr/lib/lv2/decay-swh.lv2/plugin.ttl usr/lib/lv2/decimator-swh.lv2/ usr/lib/lv2/decimator-swh.lv2/manifest.ttl usr/lib/lv2/decimator-swh.lv2/plugin-Linux.so usr/lib/lv2/decimator-swh.lv2/plugin.ttl usr/lib/lv2/declip-swh.lv2/ usr/lib/lv2/declip-swh.lv2/manifest.ttl usr/lib/lv2/declip-swh.lv2/plugin-Linux.so usr/lib/lv2/declip-swh.lv2/plugin.ttl usr/lib/lv2/delay-swh.lv2/ usr/lib/lv2/delay-swh.lv2/manifest.ttl usr/lib/lv2/delay-swh.lv2/plugin-Linux.so usr/lib/lv2/delay-swh.lv2/plugin.ttl usr/lib/lv2/delayorama-swh.lv2/ usr/lib/lv2/delayorama-swh.lv2/manifest.ttl usr/lib/lv2/delayorama-swh.lv2/plugin-Linux.so usr/lib/lv2/delayorama-swh.lv2/plugin.ttl usr/lib/lv2/diode-swh.lv2/ usr/lib/lv2/diode-swh.lv2/manifest.ttl usr/lib/lv2/diode-swh.lv2/plugin-Linux.so usr/lib/lv2/diode-swh.lv2/plugin.ttl usr/lib/lv2/divider-swh.lv2/ usr/lib/lv2/divider-swh.lv2/manifest.ttl usr/lib/lv2/divider-swh.lv2/plugin-Linux.so usr/lib/lv2/divider-swh.lv2/plugin.ttl usr/lib/lv2/dj_eq-swh.lv2/ usr/lib/lv2/dj_eq-swh.lv2/manifest.ttl usr/lib/lv2/dj_eq-swh.lv2/plugin-Linux.so usr/lib/lv2/dj_eq-swh.lv2/plugin.ttl usr/lib/lv2/dj_flanger-swh.lv2/ usr/lib/lv2/dj_flanger-swh.lv2/manifest.ttl usr/lib/lv2/dj_flanger-swh.lv2/plugin-Linux.so usr/lib/lv2/dj_flanger-swh.lv2/plugin.ttl usr/lib/lv2/dyson_compress-swh.lv2/ usr/lib/lv2/dyson_compress-swh.lv2/manifest.ttl usr/lib/lv2/dyson_compress-swh.lv2/plugin-Linux.so usr/lib/lv2/dyson_compress-swh.lv2/plugin.ttl usr/lib/lv2/fad_delay-swh.lv2/ usr/lib/lv2/fad_delay-swh.lv2/manifest.ttl usr/lib/lv2/fad_delay-swh.lv2/plugin-Linux.so usr/lib/lv2/fad_delay-swh.lv2/plugin.ttl usr/lib/lv2/fast_lookahead_limiter-swh.lv2/ usr/lib/lv2/fast_lookahead_limiter-swh.lv2/manifest.ttl usr/lib/lv2/fast_lookahead_limiter-swh.lv2/plugin-Linux.so usr/lib/lv2/fast_lookahead_limiter-swh.lv2/plugin.ttl usr/lib/lv2/flanger-swh.lv2/ usr/lib/lv2/flanger-swh.lv2/manifest.ttl usr/lib/lv2/flanger-swh.lv2/plugin-Linux.so usr/lib/lv2/flanger-swh.lv2/plugin.ttl usr/lib/lv2/fm_osc-swh.lv2/ usr/lib/lv2/fm_osc-swh.lv2/manifest.ttl usr/lib/lv2/fm_osc-swh.lv2/plugin-Linux.so usr/lib/lv2/fm_osc-swh.lv2/plugin.ttl usr/lib/lv2/foldover-swh.lv2/ usr/lib/lv2/foldover-swh.lv2/manifest.ttl usr/lib/lv2/foldover-swh.lv2/plugin-Linux.so usr/lib/lv2/foldover-swh.lv2/plugin.ttl usr/lib/lv2/foverdrive-swh.lv2/ usr/lib/lv2/foverdrive-swh.lv2/manifest.ttl usr/lib/lv2/foverdrive-swh.lv2/plugin-Linux.so usr/lib/lv2/foverdrive-swh.lv2/plugin.ttl usr/lib/lv2/freq_tracker-swh.lv2/ usr/lib/lv2/freq_tracker-swh.lv2/manifest.ttl usr/lib/lv2/freq_tracker-swh.lv2/plugin-Linux.so usr/lib/lv2/freq_tracker-swh.lv2/plugin.ttl usr/lib/lv2/gate-swh.lv2/ usr/lib/lv2/gate-swh.lv2/manifest.ttl usr/lib/lv2/gate-swh.lv2/plugin-Linux.so usr/lib/lv2/gate-swh.lv2/plugin.ttl usr/lib/lv2/giant_flange-swh.lv2/ usr/lib/lv2/giant_flange-swh.lv2/manifest.ttl usr/lib/lv2/giant_flange-swh.lv2/plugin-Linux.so usr/lib/lv2/giant_flange-swh.lv2/plugin.ttl usr/lib/lv2/gong_beater-swh.lv2/ usr/lib/lv2/gong_beater-swh.lv2/manifest.ttl usr/lib/lv2/gong_beater-swh.lv2/plugin-Linux.so usr/lib/lv2/gong_beater-swh.lv2/plugin.ttl usr/lib/lv2/gong-swh.lv2/ usr/lib/lv2/gong-swh.lv2/manifest.ttl usr/lib/lv2/gong-swh.lv2/plugin-Linux.so usr/lib/lv2/gong-swh.lv2/plugin.ttl usr/lib/lv2/gverb-swh.lv2/ usr/lib/lv2/gverb-swh.lv2/manifest.ttl usr/lib/lv2/gverb-swh.lv2/plugin-Linux.so usr/lib/lv2/gverb-swh.lv2/plugin.ttl usr/lib/lv2/hard_limiter-swh.lv2/ usr/lib/lv2/hard_limiter-swh.lv2/manifest.ttl usr/lib/lv2/hard_limiter-swh.lv2/plugin-Linux.so usr/lib/lv2/hard_limiter-swh.lv2/plugin.ttl usr/lib/lv2/harmonic_gen-swh.lv2/ usr/lib/lv2/harmonic_gen-swh.lv2/manifest.ttl usr/lib/lv2/harmonic_gen-swh.lv2/plugin-Linux.so usr/lib/lv2/harmonic_gen-swh.lv2/plugin.ttl usr/lib/lv2/hermes_filter-swh.lv2/ usr/lib/lv2/hermes_filter-swh.lv2/manifest.ttl usr/lib/lv2/hermes_filter-swh.lv2/plugin-Linux.so usr/lib/lv2/hermes_filter-swh.lv2/plugin.ttl usr/lib/lv2/highpass_iir-swh.lv2/ usr/lib/lv2/highpass_iir-swh.lv2/manifest.ttl usr/lib/lv2/highpass_iir-swh.lv2/plugin-Linux.so usr/lib/lv2/highpass_iir-swh.lv2/plugin.ttl usr/lib/lv2/hilbert-swh.lv2/ usr/lib/lv2/hilbert-swh.lv2/manifest.ttl usr/lib/lv2/hilbert-swh.lv2/plugin-Linux.so usr/lib/lv2/hilbert-swh.lv2/plugin.ttl usr/lib/lv2/impulse-swh.lv2/ usr/lib/lv2/impulse-swh.lv2/manifest.ttl usr/lib/lv2/impulse-swh.lv2/plugin-Linux.so usr/lib/lv2/impulse-swh.lv2/plugin.ttl usr/lib/lv2/inv-swh.lv2/ usr/lib/lv2/inv-swh.lv2/manifest.ttl usr/lib/lv2/inv-swh.lv2/plugin-Linux.so usr/lib/lv2/inv-swh.lv2/plugin.ttl usr/lib/lv2/karaoke-swh.lv2/ usr/lib/lv2/karaoke-swh.lv2/manifest.ttl usr/lib/lv2/karaoke-swh.lv2/plugin-Linux.so usr/lib/lv2/karaoke-swh.lv2/plugin.ttl usr/lib/lv2/latency-swh.lv2/ usr/lib/lv2/latency-swh.lv2/manifest.ttl usr/lib/lv2/latency-swh.lv2/plugin-Linux.so usr/lib/lv2/latency-swh.lv2/plugin.ttl usr/lib/lv2/lcr_delay-swh.lv2/ usr/lib/lv2/lcr_delay-swh.lv2/manifest.ttl usr/lib/lv2/lcr_delay-swh.lv2/plugin-Linux.so usr/lib/lv2/lcr_delay-swh.lv2/plugin.ttl usr/lib/lv2/lookahead_limiter_const-swh.lv2/ usr/lib/lv2/lookahead_limiter_const-swh.lv2/manifest.ttl usr/lib/lv2/lookahead_limiter_const-swh.lv2/plugin-Linux.so usr/lib/lv2/lookahead_limiter_const-swh.lv2/plugin.ttl usr/lib/lv2/lookahead_limiter-swh.lv2/ usr/lib/lv2/lookahead_limiter-swh.lv2/manifest.ttl usr/lib/lv2/lookahead_limiter-swh.lv2/plugin-Linux.so usr/lib/lv2/lookahead_limiter-swh.lv2/plugin.ttl usr/lib/lv2/lowpass_iir-swh.lv2/ usr/lib/lv2/lowpass_iir-swh.lv2/manifest.ttl usr/lib/lv2/lowpass_iir-swh.lv2/plugin-Linux.so usr/lib/lv2/lowpass_iir-swh.lv2/plugin.ttl usr/lib/lv2/ls_filter-swh.lv2/ usr/lib/lv2/ls_filter-swh.lv2/manifest.ttl usr/lib/lv2/ls_filter-swh.lv2/plugin-Linux.so usr/lib/lv2/ls_filter-swh.lv2/plugin.ttl usr/lib/lv2/matrix_ms_st-swh.lv2/ usr/lib/lv2/matrix_ms_st-swh.lv2/manifest.ttl usr/lib/lv2/matrix_ms_st-swh.lv2/plugin-Linux.so usr/lib/lv2/matrix_ms_st-swh.lv2/plugin.ttl usr/lib/lv2/matrix_spatialiser-swh.lv2/ usr/lib/lv2/matrix_spatialiser-swh.lv2/manifest.ttl usr/lib/lv2/matrix_spatialiser-swh.lv2/plugin-Linux.so usr/lib/lv2/matrix_spatialiser-swh.lv2/plugin.ttl usr/lib/lv2/matrix_st_ms-swh.lv2/ usr/lib/lv2/matrix_st_ms-swh.lv2/manifest.ttl usr/lib/lv2/matrix_st_ms-swh.lv2/plugin-Linux.so usr/lib/lv2/matrix_st_ms-swh.lv2/plugin.ttl usr/lib/lv2/mbeq-swh.lv2/ usr/lib/lv2/mbeq-swh.lv2/manifest.ttl usr/lib/lv2/mbeq-swh.lv2/plugin-Linux.so usr/lib/lv2/mbeq-swh.lv2/plugin.ttl usr/lib/lv2/mod_delay-swh.lv2/ usr/lib/lv2/mod_delay-swh.lv2/manifest.ttl usr/lib/lv2/mod_delay-swh.lv2/plugin-Linux.so usr/lib/lv2/mod_delay-swh.lv2/plugin.ttl usr/lib/lv2/multivoice_chorus-swh.lv2/ usr/lib/lv2/multivoice_chorus-swh.lv2/manifest.ttl usr/lib/lv2/multivoice_chorus-swh.lv2/plugin-Linux.so usr/lib/lv2/multivoice_chorus-swh.lv2/plugin.ttl usr/lib/lv2/offset-swh.lv2/ usr/lib/lv2/offset-swh.lv2/manifest.ttl usr/lib/lv2/offset-swh.lv2/plugin-Linux.so usr/lib/lv2/offset-swh.lv2/plugin.ttl usr/lib/lv2/phasers-swh.lv2/ usr/lib/lv2/phasers-swh.lv2/manifest.ttl usr/lib/lv2/phasers-swh.lv2/plugin-Linux.so usr/lib/lv2/phasers-swh.lv2/plugin.ttl usr/lib/lv2/pitch_scale-swh.lv2/ usr/lib/lv2/pitch_scale-swh.lv2/manifest.ttl usr/lib/lv2/pitch_scale-swh.lv2/plugin-Linux.so usr/lib/lv2/pitch_scale-swh.lv2/plugin.ttl usr/lib/lv2/plate-swh.lv2/ usr/lib/lv2/plate-swh.lv2/manifest.ttl usr/lib/lv2/plate-swh.lv2/plugin-Linux.so usr/lib/lv2/plate-swh.lv2/plugin.ttl usr/lib/lv2/pointer_cast-swh.lv2/ usr/lib/lv2/pointer_cast-swh.lv2/manifest.ttl usr/lib/lv2/pointer_cast-swh.lv2/plugin-Linux.so usr/lib/lv2/pointer_cast-swh.lv2/plugin.ttl usr/lib/lv2/rate_shifter-swh.lv2/ usr/lib/lv2/rate_shifter-swh.lv2/manifest.ttl usr/lib/lv2/rate_shifter-swh.lv2/plugin-Linux.so usr/lib/lv2/rate_shifter-swh.lv2/plugin.ttl usr/lib/lv2/retro_flange-swh.lv2/ usr/lib/lv2/retro_flange-swh.lv2/manifest.ttl usr/lib/lv2/retro_flange-swh.lv2/plugin-Linux.so usr/lib/lv2/retro_flange-swh.lv2/plugin.ttl usr/lib/lv2/revdelay-swh.lv2/ usr/lib/lv2/revdelay-swh.lv2/manifest.ttl usr/lib/lv2/revdelay-swh.lv2/plugin-Linux.so usr/lib/lv2/revdelay-swh.lv2/plugin.ttl usr/lib/lv2/ringmod-swh.lv2/ usr/lib/lv2/ringmod-swh.lv2/manifest.ttl usr/lib/lv2/ringmod-swh.lv2/plugin-Linux.so usr/lib/lv2/ringmod-swh.lv2/plugin.ttl usr/lib/lv2/satan_maximiser-swh.lv2/ usr/lib/lv2/satan_maximiser-swh.lv2/manifest.ttl usr/lib/lv2/satan_maximiser-swh.lv2/plugin-Linux.so usr/lib/lv2/satan_maximiser-swh.lv2/plugin.ttl usr/lib/lv2/sc1-swh.lv2/ usr/lib/lv2/sc1-swh.lv2/manifest.ttl usr/lib/lv2/sc1-swh.lv2/plugin-Linux.so usr/lib/lv2/sc1-swh.lv2/plugin.ttl usr/lib/lv2/sc2-swh.lv2/ usr/lib/lv2/sc2-swh.lv2/manifest.ttl usr/lib/lv2/sc2-swh.lv2/plugin-Linux.so usr/lib/lv2/sc2-swh.lv2/plugin.ttl usr/lib/lv2/sc3-swh.lv2/ usr/lib/lv2/sc3-swh.lv2/manifest.ttl usr/lib/lv2/sc3-swh.lv2/plugin-Linux.so usr/lib/lv2/sc3-swh.lv2/plugin.ttl usr/lib/lv2/sc4-swh.lv2/ usr/lib/lv2/sc4-swh.lv2/manifest.ttl usr/lib/lv2/sc4-swh.lv2/plugin-Linux.so usr/lib/lv2/sc4-swh.lv2/plugin.ttl usr/lib/lv2/se4-swh.lv2/ usr/lib/lv2/se4-swh.lv2/manifest.ttl usr/lib/lv2/se4-swh.lv2/plugin-Linux.so usr/lib/lv2/se4-swh.lv2/plugin.ttl usr/lib/lv2/shaper-swh.lv2/ usr/lib/lv2/shaper-swh.lv2/manifest.ttl usr/lib/lv2/shaper-swh.lv2/plugin-Linux.so usr/lib/lv2/shaper-swh.lv2/plugin.ttl usr/lib/lv2/sifter-swh.lv2/ usr/lib/lv2/sifter-swh.lv2/manifest.ttl usr/lib/lv2/sifter-swh.lv2/plugin-Linux.so usr/lib/lv2/sifter-swh.lv2/plugin.ttl usr/lib/lv2/simple_comb-swh.lv2/ usr/lib/lv2/simple_comb-swh.lv2/manifest.ttl usr/lib/lv2/simple_comb-swh.lv2/plugin-Linux.so usr/lib/lv2/simple_comb-swh.lv2/plugin.ttl usr/lib/lv2/sin_cos-swh.lv2/ usr/lib/lv2/sin_cos-swh.lv2/manifest.ttl usr/lib/lv2/sin_cos-swh.lv2/plugin-Linux.so usr/lib/lv2/sin_cos-swh.lv2/plugin.ttl usr/lib/lv2/single_para-swh.lv2/ usr/lib/lv2/single_para-swh.lv2/manifest.ttl usr/lib/lv2/single_para-swh.lv2/plugin-Linux.so usr/lib/lv2/single_para-swh.lv2/plugin.ttl usr/lib/lv2/sinus_wavewrapper-swh.lv2/ usr/lib/lv2/sinus_wavewrapper-swh.lv2/manifest.ttl usr/lib/lv2/sinus_wavewrapper-swh.lv2/plugin-Linux.so usr/lib/lv2/sinus_wavewrapper-swh.lv2/plugin.ttl usr/lib/lv2/smooth_decimate-swh.lv2/ usr/lib/lv2/smooth_decimate-swh.lv2/manifest.ttl usr/lib/lv2/smooth_decimate-swh.lv2/plugin-Linux.so usr/lib/lv2/smooth_decimate-swh.lv2/plugin.ttl usr/lib/lv2/split-swh.lv2/ usr/lib/lv2/split-swh.lv2/manifest.ttl usr/lib/lv2/split-swh.lv2/plugin-Linux.so usr/lib/lv2/split-swh.lv2/plugin.ttl usr/lib/lv2/surround_encoder-swh.lv2/ usr/lib/lv2/surround_encoder-swh.lv2/manifest.ttl usr/lib/lv2/surround_encoder-swh.lv2/plugin-Linux.so usr/lib/lv2/surround_encoder-swh.lv2/plugin.ttl usr/lib/lv2/svf-swh.lv2/ usr/lib/lv2/svf-swh.lv2/manifest.ttl usr/lib/lv2/svf-swh.lv2/plugin-Linux.so usr/lib/lv2/svf-swh.lv2/plugin.ttl usr/lib/lv2/tape_delay-swh.lv2/ usr/lib/lv2/tape_delay-swh.lv2/manifest.ttl usr/lib/lv2/tape_delay-swh.lv2/plugin-Linux.so usr/lib/lv2/tape_delay-swh.lv2/plugin.ttl usr/lib/lv2/transient-swh.lv2/ usr/lib/lv2/transient-swh.lv2/manifest.ttl usr/lib/lv2/transient-swh.lv2/plugin-Linux.so usr/lib/lv2/transient-swh.lv2/plugin.ttl usr/lib/lv2/triple_para-swh.lv2/ usr/lib/lv2/triple_para-swh.lv2/manifest.ttl usr/lib/lv2/triple_para-swh.lv2/plugin-Linux.so usr/lib/lv2/triple_para-swh.lv2/plugin.ttl usr/lib/lv2/u_law-swh.lv2/ usr/lib/lv2/u_law-swh.lv2/manifest.ttl usr/lib/lv2/u_law-swh.lv2/plugin-Linux.so usr/lib/lv2/u_law-swh.lv2/plugin.ttl usr/lib/lv2/valve_rect-swh.lv2/ usr/lib/lv2/valve_rect-swh.lv2/manifest.ttl usr/lib/lv2/valve_rect-swh.lv2/plugin-Linux.so usr/lib/lv2/valve_rect-swh.lv2/plugin.ttl usr/lib/lv2/valve-swh.lv2/ usr/lib/lv2/valve-swh.lv2/manifest.ttl usr/lib/lv2/valve-swh.lv2/plugin-Linux.so usr/lib/lv2/valve-swh.lv2/plugin.ttl usr/lib/lv2/vynil-swh.lv2/ usr/lib/lv2/vynil-swh.lv2/manifest.ttl usr/lib/lv2/vynil-swh.lv2/plugin-Linux.so usr/lib/lv2/vynil-swh.lv2/plugin.ttl usr/lib/lv2/wave_terrain-swh.lv2/ usr/lib/lv2/wave_terrain-swh.lv2/manifest.ttl usr/lib/lv2/wave_terrain-swh.lv2/plugin-Linux.so usr/lib/lv2/wave_terrain-swh.lv2/plugin.ttl usr/lib/lv2/xfade-swh.lv2/ usr/lib/lv2/xfade-swh.lv2/manifest.ttl usr/lib/lv2/xfade-swh.lv2/plugin-Linux.so usr/lib/lv2/xfade-swh.lv2/plugin.ttl usr/lib/lv2/zm1-swh.lv2/ usr/lib/lv2/zm1-swh.lv2/manifest.ttl usr/lib/lv2/zm1-swh.lv2/plugin-Linux.so usr/lib/lv2/zm1-swh.lv2/plugin.ttl
x86_64swh-plugins.lv21.0.16-2LV2 port of Steve Harris' plugins suite
Close

swh-plugins.lv2 1.0.16-2


Architecture:x86_64
Base Package:swh-plugins.lv2 (PKGBUILD)
Description:LV2 port of Steve Harris' plugins suite
Upstream URL:http://plugin.org.uk/
Groups:lv2-plugins
pro-audio
Provides:swh-plugins
Download Size:287.0 kB (Download)
Installed Size:2.0 MB
License:GPL3
Build Date:2022-06-13 21:01 UTC
Dependencies:glibc
libfftw3f.so=3-64
lv2-host: for running the plugins (optional)
fftw (make)
libxslt (make)
lilv (check)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/a_law-swh.lv2/ usr/lib/lv2/a_law-swh.lv2/manifest.ttl usr/lib/lv2/a_law-swh.lv2/plugin-Linux.so usr/lib/lv2/a_law-swh.lv2/plugin.ttl usr/lib/lv2/alias-swh.lv2/ usr/lib/lv2/alias-swh.lv2/manifest.ttl usr/lib/lv2/alias-swh.lv2/plugin-Linux.so usr/lib/lv2/alias-swh.lv2/plugin.ttl usr/lib/lv2/allpass-swh.lv2/ usr/lib/lv2/allpass-swh.lv2/manifest.ttl usr/lib/lv2/allpass-swh.lv2/plugin-Linux.so usr/lib/lv2/allpass-swh.lv2/plugin.ttl usr/lib/lv2/am_pitchshift-swh.lv2/ usr/lib/lv2/am_pitchshift-swh.lv2/manifest.ttl usr/lib/lv2/am_pitchshift-swh.lv2/plugin-Linux.so usr/lib/lv2/am_pitchshift-swh.lv2/plugin.ttl usr/lib/lv2/amp-swh.lv2/ usr/lib/lv2/amp-swh.lv2/manifest.ttl usr/lib/lv2/amp-swh.lv2/plugin-Linux.so usr/lib/lv2/amp-swh.lv2/plugin.ttl usr/lib/lv2/analogue_osc-swh.lv2/ usr/lib/lv2/analogue_osc-swh.lv2/manifest.ttl usr/lib/lv2/analogue_osc-swh.lv2/plugin-Linux.so usr/lib/lv2/analogue_osc-swh.lv2/plugin.ttl usr/lib/lv2/bandpass_a_iir-swh.lv2/ usr/lib/lv2/bandpass_a_iir-swh.lv2/manifest.ttl usr/lib/lv2/bandpass_a_iir-swh.lv2/plugin-Linux.so usr/lib/lv2/bandpass_a_iir-swh.lv2/plugin.ttl usr/lib/lv2/bandpass_iir-swh.lv2/ usr/lib/lv2/bandpass_iir-swh.lv2/manifest.ttl usr/lib/lv2/bandpass_iir-swh.lv2/plugin-Linux.so usr/lib/lv2/bandpass_iir-swh.lv2/plugin.ttl usr/lib/lv2/bode_shifter_cv-swh.lv2/ usr/lib/lv2/bode_shifter_cv-swh.lv2/manifest.ttl usr/lib/lv2/bode_shifter_cv-swh.lv2/plugin-Linux.so usr/lib/lv2/bode_shifter_cv-swh.lv2/plugin.ttl usr/lib/lv2/bode_shifter-swh.lv2/ usr/lib/lv2/bode_shifter-swh.lv2/manifest.ttl usr/lib/lv2/bode_shifter-swh.lv2/plugin-Linux.so usr/lib/lv2/bode_shifter-swh.lv2/plugin.ttl usr/lib/lv2/butterworth-swh.lv2/ usr/lib/lv2/butterworth-swh.lv2/manifest.ttl usr/lib/lv2/butterworth-swh.lv2/plugin-Linux.so usr/lib/lv2/butterworth-swh.lv2/plugin.ttl usr/lib/lv2/chebstortion-swh.lv2/ usr/lib/lv2/chebstortion-swh.lv2/manifest.ttl usr/lib/lv2/chebstortion-swh.lv2/plugin-Linux.so usr/lib/lv2/chebstortion-swh.lv2/plugin.ttl usr/lib/lv2/comb_splitter-swh.lv2/ usr/lib/lv2/comb_splitter-swh.lv2/manifest.ttl usr/lib/lv2/comb_splitter-swh.lv2/plugin-Linux.so usr/lib/lv2/comb_splitter-swh.lv2/plugin.ttl usr/lib/lv2/comb-swh.lv2/ usr/lib/lv2/comb-swh.lv2/manifest.ttl usr/lib/lv2/comb-swh.lv2/plugin-Linux.so usr/lib/lv2/comb-swh.lv2/plugin.ttl usr/lib/lv2/const-swh.lv2/ usr/lib/lv2/const-swh.lv2/manifest.ttl usr/lib/lv2/const-swh.lv2/plugin-Linux.so usr/lib/lv2/const-swh.lv2/plugin.ttl usr/lib/lv2/crossover_dist-swh.lv2/ usr/lib/lv2/crossover_dist-swh.lv2/manifest.ttl usr/lib/lv2/crossover_dist-swh.lv2/plugin-Linux.so usr/lib/lv2/crossover_dist-swh.lv2/plugin.ttl usr/lib/lv2/dc_remove-swh.lv2/ usr/lib/lv2/dc_remove-swh.lv2/manifest.ttl usr/lib/lv2/dc_remove-swh.lv2/plugin-Linux.so usr/lib/lv2/dc_remove-swh.lv2/plugin.ttl usr/lib/lv2/decay-swh.lv2/ usr/lib/lv2/decay-swh.lv2/manifest.ttl usr/lib/lv2/decay-swh.lv2/plugin-Linux.so usr/lib/lv2/decay-swh.lv2/plugin.ttl usr/lib/lv2/decimator-swh.lv2/ usr/lib/lv2/decimator-swh.lv2/manifest.ttl usr/lib/lv2/decimator-swh.lv2/plugin-Linux.so usr/lib/lv2/decimator-swh.lv2/plugin.ttl usr/lib/lv2/declip-swh.lv2/ usr/lib/lv2/declip-swh.lv2/manifest.ttl usr/lib/lv2/declip-swh.lv2/plugin-Linux.so usr/lib/lv2/declip-swh.lv2/plugin.ttl usr/lib/lv2/delay-swh.lv2/ usr/lib/lv2/delay-swh.lv2/manifest.ttl usr/lib/lv2/delay-swh.lv2/plugin-Linux.so usr/lib/lv2/delay-swh.lv2/plugin.ttl usr/lib/lv2/delayorama-swh.lv2/ usr/lib/lv2/delayorama-swh.lv2/manifest.ttl usr/lib/lv2/delayorama-swh.lv2/plugin-Linux.so usr/lib/lv2/delayorama-swh.lv2/plugin.ttl usr/lib/lv2/diode-swh.lv2/ usr/lib/lv2/diode-swh.lv2/manifest.ttl usr/lib/lv2/diode-swh.lv2/plugin-Linux.so usr/lib/lv2/diode-swh.lv2/plugin.ttl usr/lib/lv2/divider-swh.lv2/ usr/lib/lv2/divider-swh.lv2/manifest.ttl usr/lib/lv2/divider-swh.lv2/plugin-Linux.so usr/lib/lv2/divider-swh.lv2/plugin.ttl usr/lib/lv2/dj_eq-swh.lv2/ usr/lib/lv2/dj_eq-swh.lv2/manifest.ttl usr/lib/lv2/dj_eq-swh.lv2/plugin-Linux.so usr/lib/lv2/dj_eq-swh.lv2/plugin.ttl usr/lib/lv2/dj_flanger-swh.lv2/ usr/lib/lv2/dj_flanger-swh.lv2/manifest.ttl usr/lib/lv2/dj_flanger-swh.lv2/plugin-Linux.so usr/lib/lv2/dj_flanger-swh.lv2/plugin.ttl usr/lib/lv2/dyson_compress-swh.lv2/ usr/lib/lv2/dyson_compress-swh.lv2/manifest.ttl usr/lib/lv2/dyson_compress-swh.lv2/plugin-Linux.so usr/lib/lv2/dyson_compress-swh.lv2/plugin.ttl usr/lib/lv2/fad_delay-swh.lv2/ usr/lib/lv2/fad_delay-swh.lv2/manifest.ttl usr/lib/lv2/fad_delay-swh.lv2/plugin-Linux.so usr/lib/lv2/fad_delay-swh.lv2/plugin.ttl usr/lib/lv2/fast_lookahead_limiter-swh.lv2/ usr/lib/lv2/fast_lookahead_limiter-swh.lv2/manifest.ttl usr/lib/lv2/fast_lookahead_limiter-swh.lv2/plugin-Linux.so usr/lib/lv2/fast_lookahead_limiter-swh.lv2/plugin.ttl usr/lib/lv2/flanger-swh.lv2/ usr/lib/lv2/flanger-swh.lv2/manifest.ttl usr/lib/lv2/flanger-swh.lv2/plugin-Linux.so usr/lib/lv2/flanger-swh.lv2/plugin.ttl usr/lib/lv2/fm_osc-swh.lv2/ usr/lib/lv2/fm_osc-swh.lv2/manifest.ttl usr/lib/lv2/fm_osc-swh.lv2/plugin-Linux.so usr/lib/lv2/fm_osc-swh.lv2/plugin.ttl usr/lib/lv2/foldover-swh.lv2/ usr/lib/lv2/foldover-swh.lv2/manifest.ttl usr/lib/lv2/foldover-swh.lv2/plugin-Linux.so usr/lib/lv2/foldover-swh.lv2/plugin.ttl usr/lib/lv2/foverdrive-swh.lv2/ usr/lib/lv2/foverdrive-swh.lv2/manifest.ttl usr/lib/lv2/foverdrive-swh.lv2/plugin-Linux.so usr/lib/lv2/foverdrive-swh.lv2/plugin.ttl usr/lib/lv2/freq_tracker-swh.lv2/ usr/lib/lv2/freq_tracker-swh.lv2/manifest.ttl usr/lib/lv2/freq_tracker-swh.lv2/plugin-Linux.so usr/lib/lv2/freq_tracker-swh.lv2/plugin.ttl usr/lib/lv2/gate-swh.lv2/ usr/lib/lv2/gate-swh.lv2/manifest.ttl usr/lib/lv2/gate-swh.lv2/plugin-Linux.so usr/lib/lv2/gate-swh.lv2/plugin.ttl usr/lib/lv2/giant_flange-swh.lv2/ usr/lib/lv2/giant_flange-swh.lv2/manifest.ttl usr/lib/lv2/giant_flange-swh.lv2/plugin-Linux.so usr/lib/lv2/giant_flange-swh.lv2/plugin.ttl usr/lib/lv2/gong_beater-swh.lv2/ usr/lib/lv2/gong_beater-swh.lv2/manifest.ttl usr/lib/lv2/gong_beater-swh.lv2/plugin-Linux.so usr/lib/lv2/gong_beater-swh.lv2/plugin.ttl usr/lib/lv2/gong-swh.lv2/ usr/lib/lv2/gong-swh.lv2/manifest.ttl usr/lib/lv2/gong-swh.lv2/plugin-Linux.so usr/lib/lv2/gong-swh.lv2/plugin.ttl usr/lib/lv2/gverb-swh.lv2/ usr/lib/lv2/gverb-swh.lv2/manifest.ttl usr/lib/lv2/gverb-swh.lv2/plugin-Linux.so usr/lib/lv2/gverb-swh.lv2/plugin.ttl usr/lib/lv2/hard_limiter-swh.lv2/ usr/lib/lv2/hard_limiter-swh.lv2/manifest.ttl usr/lib/lv2/hard_limiter-swh.lv2/plugin-Linux.so usr/lib/lv2/hard_limiter-swh.lv2/plugin.ttl usr/lib/lv2/harmonic_gen-swh.lv2/ usr/lib/lv2/harmonic_gen-swh.lv2/manifest.ttl usr/lib/lv2/harmonic_gen-swh.lv2/plugin-Linux.so usr/lib/lv2/harmonic_gen-swh.lv2/plugin.ttl usr/lib/lv2/hermes_filter-swh.lv2/ usr/lib/lv2/hermes_filter-swh.lv2/manifest.ttl usr/lib/lv2/hermes_filter-swh.lv2/plugin-Linux.so usr/lib/lv2/hermes_filter-swh.lv2/plugin.ttl usr/lib/lv2/highpass_iir-swh.lv2/ usr/lib/lv2/highpass_iir-swh.lv2/manifest.ttl usr/lib/lv2/highpass_iir-swh.lv2/plugin-Linux.so usr/lib/lv2/highpass_iir-swh.lv2/plugin.ttl usr/lib/lv2/hilbert-swh.lv2/ usr/lib/lv2/hilbert-swh.lv2/manifest.ttl usr/lib/lv2/hilbert-swh.lv2/plugin-Linux.so usr/lib/lv2/hilbert-swh.lv2/plugin.ttl usr/lib/lv2/impulse-swh.lv2/ usr/lib/lv2/impulse-swh.lv2/manifest.ttl usr/lib/lv2/impulse-swh.lv2/plugin-Linux.so usr/lib/lv2/impulse-swh.lv2/plugin.ttl usr/lib/lv2/inv-swh.lv2/ usr/lib/lv2/inv-swh.lv2/manifest.ttl usr/lib/lv2/inv-swh.lv2/plugin-Linux.so usr/lib/lv2/inv-swh.lv2/plugin.ttl usr/lib/lv2/karaoke-swh.lv2/ usr/lib/lv2/karaoke-swh.lv2/manifest.ttl usr/lib/lv2/karaoke-swh.lv2/plugin-Linux.so usr/lib/lv2/karaoke-swh.lv2/plugin.ttl usr/lib/lv2/latency-swh.lv2/ usr/lib/lv2/latency-swh.lv2/manifest.ttl usr/lib/lv2/latency-swh.lv2/plugin-Linux.so usr/lib/lv2/latency-swh.lv2/plugin.ttl usr/lib/lv2/lcr_delay-swh.lv2/ usr/lib/lv2/lcr_delay-swh.lv2/manifest.ttl usr/lib/lv2/lcr_delay-swh.lv2/plugin-Linux.so usr/lib/lv2/lcr_delay-swh.lv2/plugin.ttl usr/lib/lv2/lookahead_limiter_const-swh.lv2/ usr/lib/lv2/lookahead_limiter_const-swh.lv2/manifest.ttl usr/lib/lv2/lookahead_limiter_const-swh.lv2/plugin-Linux.so usr/lib/lv2/lookahead_limiter_const-swh.lv2/plugin.ttl usr/lib/lv2/lookahead_limiter-swh.lv2/ usr/lib/lv2/lookahead_limiter-swh.lv2/manifest.ttl usr/lib/lv2/lookahead_limiter-swh.lv2/plugin-Linux.so usr/lib/lv2/lookahead_limiter-swh.lv2/plugin.ttl usr/lib/lv2/lowpass_iir-swh.lv2/ usr/lib/lv2/lowpass_iir-swh.lv2/manifest.ttl usr/lib/lv2/lowpass_iir-swh.lv2/plugin-Linux.so usr/lib/lv2/lowpass_iir-swh.lv2/plugin.ttl usr/lib/lv2/ls_filter-swh.lv2/ usr/lib/lv2/ls_filter-swh.lv2/manifest.ttl usr/lib/lv2/ls_filter-swh.lv2/plugin-Linux.so usr/lib/lv2/ls_filter-swh.lv2/plugin.ttl usr/lib/lv2/matrix_ms_st-swh.lv2/ usr/lib/lv2/matrix_ms_st-swh.lv2/manifest.ttl usr/lib/lv2/matrix_ms_st-swh.lv2/plugin-Linux.so usr/lib/lv2/matrix_ms_st-swh.lv2/plugin.ttl usr/lib/lv2/matrix_spatialiser-swh.lv2/ usr/lib/lv2/matrix_spatialiser-swh.lv2/manifest.ttl usr/lib/lv2/matrix_spatialiser-swh.lv2/plugin-Linux.so usr/lib/lv2/matrix_spatialiser-swh.lv2/plugin.ttl usr/lib/lv2/matrix_st_ms-swh.lv2/ usr/lib/lv2/matrix_st_ms-swh.lv2/manifest.ttl usr/lib/lv2/matrix_st_ms-swh.lv2/plugin-Linux.so usr/lib/lv2/matrix_st_ms-swh.lv2/plugin.ttl usr/lib/lv2/mbeq-swh.lv2/ usr/lib/lv2/mbeq-swh.lv2/manifest.ttl usr/lib/lv2/mbeq-swh.lv2/plugin-Linux.so usr/lib/lv2/mbeq-swh.lv2/plugin.ttl usr/lib/lv2/mod_delay-swh.lv2/ usr/lib/lv2/mod_delay-swh.lv2/manifest.ttl usr/lib/lv2/mod_delay-swh.lv2/plugin-Linux.so usr/lib/lv2/mod_delay-swh.lv2/plugin.ttl usr/lib/lv2/multivoice_chorus-swh.lv2/ usr/lib/lv2/multivoice_chorus-swh.lv2/manifest.ttl usr/lib/lv2/multivoice_chorus-swh.lv2/plugin-Linux.so usr/lib/lv2/multivoice_chorus-swh.lv2/plugin.ttl usr/lib/lv2/offset-swh.lv2/ usr/lib/lv2/offset-swh.lv2/manifest.ttl usr/lib/lv2/offset-swh.lv2/plugin-Linux.so usr/lib/lv2/offset-swh.lv2/plugin.ttl usr/lib/lv2/phasers-swh.lv2/ usr/lib/lv2/phasers-swh.lv2/manifest.ttl usr/lib/lv2/phasers-swh.lv2/plugin-Linux.so usr/lib/lv2/phasers-swh.lv2/plugin.ttl usr/lib/lv2/pitch_scale-swh.lv2/ usr/lib/lv2/pitch_scale-swh.lv2/manifest.ttl usr/lib/lv2/pitch_scale-swh.lv2/plugin-Linux.so usr/lib/lv2/pitch_scale-swh.lv2/plugin.ttl usr/lib/lv2/plate-swh.lv2/ usr/lib/lv2/plate-swh.lv2/manifest.ttl usr/lib/lv2/plate-swh.lv2/plugin-Linux.so usr/lib/lv2/plate-swh.lv2/plugin.ttl usr/lib/lv2/pointer_cast-swh.lv2/ usr/lib/lv2/pointer_cast-swh.lv2/manifest.ttl usr/lib/lv2/pointer_cast-swh.lv2/plugin-Linux.so usr/lib/lv2/pointer_cast-swh.lv2/plugin.ttl usr/lib/lv2/rate_shifter-swh.lv2/ usr/lib/lv2/rate_shifter-swh.lv2/manifest.ttl usr/lib/lv2/rate_shifter-swh.lv2/plugin-Linux.so usr/lib/lv2/rate_shifter-swh.lv2/plugin.ttl usr/lib/lv2/retro_flange-swh.lv2/ usr/lib/lv2/retro_flange-swh.lv2/manifest.ttl usr/lib/lv2/retro_flange-swh.lv2/plugin-Linux.so usr/lib/lv2/retro_flange-swh.lv2/plugin.ttl usr/lib/lv2/revdelay-swh.lv2/ usr/lib/lv2/revdelay-swh.lv2/manifest.ttl usr/lib/lv2/revdelay-swh.lv2/plugin-Linux.so usr/lib/lv2/revdelay-swh.lv2/plugin.ttl usr/lib/lv2/ringmod-swh.lv2/ usr/lib/lv2/ringmod-swh.lv2/manifest.ttl usr/lib/lv2/ringmod-swh.lv2/plugin-Linux.so usr/lib/lv2/ringmod-swh.lv2/plugin.ttl usr/lib/lv2/satan_maximiser-swh.lv2/ usr/lib/lv2/satan_maximiser-swh.lv2/manifest.ttl usr/lib/lv2/satan_maximiser-swh.lv2/plugin-Linux.so usr/lib/lv2/satan_maximiser-swh.lv2/plugin.ttl usr/lib/lv2/sc1-swh.lv2/ usr/lib/lv2/sc1-swh.lv2/manifest.ttl usr/lib/lv2/sc1-swh.lv2/plugin-Linux.so usr/lib/lv2/sc1-swh.lv2/plugin.ttl usr/lib/lv2/sc2-swh.lv2/ usr/lib/lv2/sc2-swh.lv2/manifest.ttl usr/lib/lv2/sc2-swh.lv2/plugin-Linux.so usr/lib/lv2/sc2-swh.lv2/plugin.ttl usr/lib/lv2/sc3-swh.lv2/ usr/lib/lv2/sc3-swh.lv2/manifest.ttl usr/lib/lv2/sc3-swh.lv2/plugin-Linux.so usr/lib/lv2/sc3-swh.lv2/plugin.ttl usr/lib/lv2/sc4-swh.lv2/ usr/lib/lv2/sc4-swh.lv2/manifest.ttl usr/lib/lv2/sc4-swh.lv2/plugin-Linux.so usr/lib/lv2/sc4-swh.lv2/plugin.ttl usr/lib/lv2/se4-swh.lv2/ usr/lib/lv2/se4-swh.lv2/manifest.ttl usr/lib/lv2/se4-swh.lv2/plugin-Linux.so usr/lib/lv2/se4-swh.lv2/plugin.ttl usr/lib/lv2/shaper-swh.lv2/ usr/lib/lv2/shaper-swh.lv2/manifest.ttl usr/lib/lv2/shaper-swh.lv2/plugin-Linux.so usr/lib/lv2/shaper-swh.lv2/plugin.ttl usr/lib/lv2/sifter-swh.lv2/ usr/lib/lv2/sifter-swh.lv2/manifest.ttl usr/lib/lv2/sifter-swh.lv2/plugin-Linux.so usr/lib/lv2/sifter-swh.lv2/plugin.ttl usr/lib/lv2/simple_comb-swh.lv2/ usr/lib/lv2/simple_comb-swh.lv2/manifest.ttl usr/lib/lv2/simple_comb-swh.lv2/plugin-Linux.so usr/lib/lv2/simple_comb-swh.lv2/plugin.ttl usr/lib/lv2/sin_cos-swh.lv2/ usr/lib/lv2/sin_cos-swh.lv2/manifest.ttl usr/lib/lv2/sin_cos-swh.lv2/plugin-Linux.so usr/lib/lv2/sin_cos-swh.lv2/plugin.ttl usr/lib/lv2/single_para-swh.lv2/ usr/lib/lv2/single_para-swh.lv2/manifest.ttl usr/lib/lv2/single_para-swh.lv2/plugin-Linux.so usr/lib/lv2/single_para-swh.lv2/plugin.ttl usr/lib/lv2/sinus_wavewrapper-swh.lv2/ usr/lib/lv2/sinus_wavewrapper-swh.lv2/manifest.ttl usr/lib/lv2/sinus_wavewrapper-swh.lv2/plugin-Linux.so usr/lib/lv2/sinus_wavewrapper-swh.lv2/plugin.ttl usr/lib/lv2/smooth_decimate-swh.lv2/ usr/lib/lv2/smooth_decimate-swh.lv2/manifest.ttl usr/lib/lv2/smooth_decimate-swh.lv2/plugin-Linux.so usr/lib/lv2/smooth_decimate-swh.lv2/plugin.ttl usr/lib/lv2/split-swh.lv2/ usr/lib/lv2/split-swh.lv2/manifest.ttl usr/lib/lv2/split-swh.lv2/plugin-Linux.so usr/lib/lv2/split-swh.lv2/plugin.ttl usr/lib/lv2/surround_encoder-swh.lv2/ usr/lib/lv2/surround_encoder-swh.lv2/manifest.ttl usr/lib/lv2/surround_encoder-swh.lv2/plugin-Linux.so usr/lib/lv2/surround_encoder-swh.lv2/plugin.ttl usr/lib/lv2/svf-swh.lv2/ usr/lib/lv2/svf-swh.lv2/manifest.ttl usr/lib/lv2/svf-swh.lv2/plugin-Linux.so usr/lib/lv2/svf-swh.lv2/plugin.ttl usr/lib/lv2/tape_delay-swh.lv2/ usr/lib/lv2/tape_delay-swh.lv2/manifest.ttl usr/lib/lv2/tape_delay-swh.lv2/plugin-Linux.so usr/lib/lv2/tape_delay-swh.lv2/plugin.ttl usr/lib/lv2/transient-swh.lv2/ usr/lib/lv2/transient-swh.lv2/manifest.ttl usr/lib/lv2/transient-swh.lv2/plugin-Linux.so usr/lib/lv2/transient-swh.lv2/plugin.ttl usr/lib/lv2/triple_para-swh.lv2/ usr/lib/lv2/triple_para-swh.lv2/manifest.ttl usr/lib/lv2/triple_para-swh.lv2/plugin-Linux.so usr/lib/lv2/triple_para-swh.lv2/plugin.ttl usr/lib/lv2/u_law-swh.lv2/ usr/lib/lv2/u_law-swh.lv2/manifest.ttl usr/lib/lv2/u_law-swh.lv2/plugin-Linux.so usr/lib/lv2/u_law-swh.lv2/plugin.ttl usr/lib/lv2/valve_rect-swh.lv2/ usr/lib/lv2/valve_rect-swh.lv2/manifest.ttl usr/lib/lv2/valve_rect-swh.lv2/plugin-Linux.so usr/lib/lv2/valve_rect-swh.lv2/plugin.ttl usr/lib/lv2/valve-swh.lv2/ usr/lib/lv2/valve-swh.lv2/manifest.ttl usr/lib/lv2/valve-swh.lv2/plugin-Linux.so usr/lib/lv2/valve-swh.lv2/plugin.ttl usr/lib/lv2/vynil-swh.lv2/ usr/lib/lv2/vynil-swh.lv2/manifest.ttl usr/lib/lv2/vynil-swh.lv2/plugin-Linux.so usr/lib/lv2/vynil-swh.lv2/plugin.ttl usr/lib/lv2/wave_terrain-swh.lv2/ usr/lib/lv2/wave_terrain-swh.lv2/manifest.ttl usr/lib/lv2/wave_terrain-swh.lv2/plugin-Linux.so usr/lib/lv2/wave_terrain-swh.lv2/plugin.ttl usr/lib/lv2/xfade-swh.lv2/ usr/lib/lv2/xfade-swh.lv2/manifest.ttl usr/lib/lv2/xfade-swh.lv2/plugin-Linux.so usr/lib/lv2/xfade-swh.lv2/plugin.ttl usr/lib/lv2/zm1-swh.lv2/ usr/lib/lv2/zm1-swh.lv2/manifest.ttl usr/lib/lv2/zm1-swh.lv2/plugin-Linux.so usr/lib/lv2/zm1-swh.lv2/plugin.ttl
aarch64tonetwistplugs0.8-1A small collection of multi-format, cross-platform guitar pedal effect plugins
Close

tonetwistplugs 0.8-1


Architecture:aarch64
Base Package:tonetwistplugs (PKGBUILD)
Description:A small collection of multi-format, cross-platform guitar pedal effect plugins
Upstream URL:https://github.com/brummer10/ToneTwistPlugs
Groups:clap-plugins
lv2-plugins
pro-audio
vst-plugins
vst3-plugins
Download Size:1.5 MB (Download)
Installed Size:12.2 MB
License:GPL-2.0-or-later
Build Date:2024-06-08 21:15 UTC
Dependencies:cairo
gcc-libs
glibc
libdbus-1.so=3-64
libx11
libxcursor
libxext
libxrandr
clap-host: for loading the CLAP format plugins (optional)
jack: for using the stand-alone programs with JACK (optional)
lv2-host: for loading the LV2 format plugins (optional)
vst-host: for loading the VST2 format plugins (optional)
vst3-host: for loading the VST3 format plugins (optional)
dbus (make)
lv2 (make)
lv2lint (check)
Package Contents
usr/ usr/bin/ usr/bin/boobtube usr/bin/collisiondrive usr/bin/metaltone usr/bin/rumor usr/bin/tubescreamer usr/bin/valvecaster usr/lib/ usr/lib/clap/ usr/lib/clap/boobtube.clap usr/lib/clap/collisiondrive.clap usr/lib/clap/metaltone.clap usr/lib/clap/rumor.clap usr/lib/clap/tubescreamer.clap usr/lib/clap/valvecaster.clap usr/lib/lv2/ usr/lib/lv2/boobtube.lv2/ usr/lib/lv2/boobtube.lv2/boobtube_dsp.so usr/lib/lv2/boobtube.lv2/boobtube_dsp.ttl usr/lib/lv2/boobtube.lv2/boobtube_ui.so usr/lib/lv2/boobtube.lv2/boobtube_ui.ttl usr/lib/lv2/boobtube.lv2/manifest.ttl usr/lib/lv2/collisiondrive.lv2/ usr/lib/lv2/collisiondrive.lv2/collisiondrive_dsp.so usr/lib/lv2/collisiondrive.lv2/collisiondrive_dsp.ttl usr/lib/lv2/collisiondrive.lv2/collisiondrive_ui.so usr/lib/lv2/collisiondrive.lv2/collisiondrive_ui.ttl usr/lib/lv2/collisiondrive.lv2/manifest.ttl usr/lib/lv2/metaltone.lv2/ usr/lib/lv2/metaltone.lv2/manifest.ttl usr/lib/lv2/metaltone.lv2/metaltone_dsp.so usr/lib/lv2/metaltone.lv2/metaltone_dsp.ttl usr/lib/lv2/metaltone.lv2/metaltone_ui.so usr/lib/lv2/metaltone.lv2/metaltone_ui.ttl usr/lib/lv2/rumor.lv2/ usr/lib/lv2/rumor.lv2/manifest.ttl usr/lib/lv2/rumor.lv2/rumor_dsp.so usr/lib/lv2/rumor.lv2/rumor_dsp.ttl usr/lib/lv2/rumor.lv2/rumor_ui.so usr/lib/lv2/rumor.lv2/rumor_ui.ttl usr/lib/lv2/tubescreamer.lv2/ usr/lib/lv2/tubescreamer.lv2/manifest.ttl usr/lib/lv2/tubescreamer.lv2/tubescreamer_dsp.so usr/lib/lv2/tubescreamer.lv2/tubescreamer_dsp.ttl usr/lib/lv2/tubescreamer.lv2/tubescreamer_ui.so usr/lib/lv2/tubescreamer.lv2/tubescreamer_ui.ttl usr/lib/lv2/valvecaster.lv2/ usr/lib/lv2/valvecaster.lv2/manifest.ttl usr/lib/lv2/valvecaster.lv2/valvecaster_dsp.so usr/lib/lv2/valvecaster.lv2/valvecaster_dsp.ttl usr/lib/lv2/valvecaster.lv2/valvecaster_ui.so usr/lib/lv2/valvecaster.lv2/valvecaster_ui.ttl usr/lib/vst/ usr/lib/vst/boobtube-vst.so usr/lib/vst/collisiondrive-vst.so usr/lib/vst/metaltone-vst.so usr/lib/vst/rumor-vst.so usr/lib/vst/tubescreamer-vst.so usr/lib/vst/valvecaster-vst.so usr/lib/vst3/ usr/lib/vst3/boobtube.vst3/ usr/lib/vst3/boobtube.vst3/Contents/ usr/lib/vst3/boobtube.vst3/Contents/aarch64-linux/ usr/lib/vst3/boobtube.vst3/Contents/aarch64-linux/boobtube.so usr/lib/vst3/collisiondrive.vst3/ usr/lib/vst3/collisiondrive.vst3/Contents/ usr/lib/vst3/collisiondrive.vst3/Contents/aarch64-linux/ usr/lib/vst3/collisiondrive.vst3/Contents/aarch64-linux/collisiondrive.so usr/lib/vst3/metaltone.vst3/ usr/lib/vst3/metaltone.vst3/Contents/ usr/lib/vst3/metaltone.vst3/Contents/aarch64-linux/ usr/lib/vst3/metaltone.vst3/Contents/aarch64-linux/metaltone.so usr/lib/vst3/rumor.vst3/ usr/lib/vst3/rumor.vst3/Contents/ usr/lib/vst3/rumor.vst3/Contents/aarch64-linux/ usr/lib/vst3/rumor.vst3/Contents/aarch64-linux/rumor.so usr/lib/vst3/tubescreamer.vst3/ usr/lib/vst3/tubescreamer.vst3/Contents/ usr/lib/vst3/tubescreamer.vst3/Contents/aarch64-linux/ usr/lib/vst3/tubescreamer.vst3/Contents/aarch64-linux/tubescreamer.so usr/lib/vst3/valvecaster.vst3/ usr/lib/vst3/valvecaster.vst3/Contents/ usr/lib/vst3/valvecaster.vst3/Contents/aarch64-linux/ usr/lib/vst3/valvecaster.vst3/Contents/aarch64-linux/valvecaster.so usr/share/ usr/share/doc/ usr/share/doc/tonetwistplugs/ usr/share/doc/tonetwistplugs/BoobTube.png usr/share/doc/tonetwistplugs/CollisionDrive.png usr/share/doc/tonetwistplugs/MetalTone.png usr/share/doc/tonetwistplugs/README.md usr/share/doc/tonetwistplugs/Rumor.png usr/share/doc/tonetwistplugs/TubeScreamer.png usr/share/doc/tonetwistplugs/ValveCaster.png
x86_64tonetwistplugs0.8-1A small collection of multi-format, cross-platform guitar pedal effect plugins
Close

tonetwistplugs 0.8-1


Architecture:x86_64
Base Package:tonetwistplugs (PKGBUILD)
Description:A small collection of multi-format, cross-platform guitar pedal effect plugins
Upstream URL:https://github.com/brummer10/ToneTwistPlugs
Groups:clap-plugins
lv2-plugins
pro-audio
vst-plugins
vst3-plugins
Download Size:1.7 MB (Download)
Installed Size:9.5 MB
License:GPL-2.0-or-later
Build Date:2024-06-08 21:15 UTC
Dependencies:cairo
gcc-libs
glibc
libdbus-1.so=3-64
libx11
libxcursor
libxext
libxrandr
clap-host: for loading the CLAP format plugins (optional)
jack: for using the stand-alone programs with JACK (optional)
lv2-host: for loading the LV2 format plugins (optional)
vst-host: for loading the VST2 format plugins (optional)
vst3-host: for loading the VST3 format plugins (optional)
dbus (make)
lv2 (make)
lv2lint (check)
Package Contents
usr/ usr/bin/ usr/bin/boobtube usr/bin/collisiondrive usr/bin/metaltone usr/bin/rumor usr/bin/tubescreamer usr/bin/valvecaster usr/lib/ usr/lib/clap/ usr/lib/clap/boobtube.clap usr/lib/clap/collisiondrive.clap usr/lib/clap/metaltone.clap usr/lib/clap/rumor.clap usr/lib/clap/tubescreamer.clap usr/lib/clap/valvecaster.clap usr/lib/lv2/ usr/lib/lv2/boobtube.lv2/ usr/lib/lv2/boobtube.lv2/boobtube_dsp.so usr/lib/lv2/boobtube.lv2/boobtube_dsp.ttl usr/lib/lv2/boobtube.lv2/boobtube_ui.so usr/lib/lv2/boobtube.lv2/boobtube_ui.ttl usr/lib/lv2/boobtube.lv2/manifest.ttl usr/lib/lv2/collisiondrive.lv2/ usr/lib/lv2/collisiondrive.lv2/collisiondrive_dsp.so usr/lib/lv2/collisiondrive.lv2/collisiondrive_dsp.ttl usr/lib/lv2/collisiondrive.lv2/collisiondrive_ui.so usr/lib/lv2/collisiondrive.lv2/collisiondrive_ui.ttl usr/lib/lv2/collisiondrive.lv2/manifest.ttl usr/lib/lv2/metaltone.lv2/ usr/lib/lv2/metaltone.lv2/manifest.ttl usr/lib/lv2/metaltone.lv2/metaltone_dsp.so usr/lib/lv2/metaltone.lv2/metaltone_dsp.ttl usr/lib/lv2/metaltone.lv2/metaltone_ui.so usr/lib/lv2/metaltone.lv2/metaltone_ui.ttl usr/lib/lv2/rumor.lv2/ usr/lib/lv2/rumor.lv2/manifest.ttl usr/lib/lv2/rumor.lv2/rumor_dsp.so usr/lib/lv2/rumor.lv2/rumor_dsp.ttl usr/lib/lv2/rumor.lv2/rumor_ui.so usr/lib/lv2/rumor.lv2/rumor_ui.ttl usr/lib/lv2/tubescreamer.lv2/ usr/lib/lv2/tubescreamer.lv2/manifest.ttl usr/lib/lv2/tubescreamer.lv2/tubescreamer_dsp.so usr/lib/lv2/tubescreamer.lv2/tubescreamer_dsp.ttl usr/lib/lv2/tubescreamer.lv2/tubescreamer_ui.so usr/lib/lv2/tubescreamer.lv2/tubescreamer_ui.ttl usr/lib/lv2/valvecaster.lv2/ usr/lib/lv2/valvecaster.lv2/manifest.ttl usr/lib/lv2/valvecaster.lv2/valvecaster_dsp.so usr/lib/lv2/valvecaster.lv2/valvecaster_dsp.ttl usr/lib/lv2/valvecaster.lv2/valvecaster_ui.so usr/lib/lv2/valvecaster.lv2/valvecaster_ui.ttl usr/lib/vst/ usr/lib/vst/boobtube-vst.so usr/lib/vst/collisiondrive-vst.so usr/lib/vst/metaltone-vst.so usr/lib/vst/rumor-vst.so usr/lib/vst/tubescreamer-vst.so usr/lib/vst/valvecaster-vst.so usr/lib/vst3/ usr/lib/vst3/boobtube.vst3/ usr/lib/vst3/boobtube.vst3/Contents/ usr/lib/vst3/boobtube.vst3/Contents/x86_64-linux/ usr/lib/vst3/boobtube.vst3/Contents/x86_64-linux/boobtube.so usr/lib/vst3/collisiondrive.vst3/ usr/lib/vst3/collisiondrive.vst3/Contents/ usr/lib/vst3/collisiondrive.vst3/Contents/x86_64-linux/ usr/lib/vst3/collisiondrive.vst3/Contents/x86_64-linux/collisiondrive.so usr/lib/vst3/metaltone.vst3/ usr/lib/vst3/metaltone.vst3/Contents/ usr/lib/vst3/metaltone.vst3/Contents/x86_64-linux/ usr/lib/vst3/metaltone.vst3/Contents/x86_64-linux/metaltone.so usr/lib/vst3/rumor.vst3/ usr/lib/vst3/rumor.vst3/Contents/ usr/lib/vst3/rumor.vst3/Contents/x86_64-linux/ usr/lib/vst3/rumor.vst3/Contents/x86_64-linux/rumor.so usr/lib/vst3/tubescreamer.vst3/ usr/lib/vst3/tubescreamer.vst3/Contents/ usr/lib/vst3/tubescreamer.vst3/Contents/x86_64-linux/ usr/lib/vst3/tubescreamer.vst3/Contents/x86_64-linux/tubescreamer.so usr/lib/vst3/valvecaster.vst3/ usr/lib/vst3/valvecaster.vst3/Contents/ usr/lib/vst3/valvecaster.vst3/Contents/x86_64-linux/ usr/lib/vst3/valvecaster.vst3/Contents/x86_64-linux/valvecaster.so usr/share/ usr/share/doc/ usr/share/doc/tonetwistplugs/ usr/share/doc/tonetwistplugs/BoobTube.png usr/share/doc/tonetwistplugs/CollisionDrive.png usr/share/doc/tonetwistplugs/MetalTone.png usr/share/doc/tonetwistplugs/README.md usr/share/doc/tonetwistplugs/Rumor.png usr/share/doc/tonetwistplugs/TubeScreamer.png usr/share/doc/tonetwistplugs/ValveCaster.png
aarch64triceratops0.5.0-2Polyphonic synthesizer LV2 plugin
Close

triceratops 0.5.0-2


Architecture:aarch64
Base Package:triceratops (PKGBUILD)
Description:Polyphonic synthesizer LV2 plugin
Upstream URL:https://sourceforge.net/projects/triceratops/
Groups:lv2-plugins
pro-audio
Provides:triceratops.lv2
Conflicts:triceratops.lv2
Download Size:282.9 kB (Download)
Installed Size:1.3 MB
License:GPL3
Build Date:2022-11-20 17:57 UTC
Dependencies:gtkmm
lv2-host: for loading the LV2 plugin (optional)
lv2 (make)
python (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/triceratops-presets.lv2/ usr/lib/lv2/triceratops-presets.lv2/128-growlie.ttl usr/lib/lv2/triceratops-presets.lv2/5th_bass.ttl usr/lib/lv2/triceratops-presets.lv2/aceeeed.ttl usr/lib/lv2/triceratops-presets.lv2/acid_1.ttl usr/lib/lv2/triceratops-presets.lv2/acid_2.ttl usr/lib/lv2/triceratops-presets.lv2/acid_pw.ttl usr/lib/lv2/triceratops-presets.lv2/after_the_storm.ttl usr/lib/lv2/triceratops-presets.lv2/analogue_single_string.ttl usr/lib/lv2/triceratops-presets.lv2/arpie.ttl usr/lib/lv2/triceratops-presets.lv2/bass_1.ttl usr/lib/lv2/triceratops-presets.lv2/bass_3.ttl usr/lib/lv2/triceratops-presets.lv2/bass_4.ttl usr/lib/lv2/triceratops-presets.lv2/big_pad.ttl usr/lib/lv2/triceratops-presets.lv2/big_trance.ttl usr/lib/lv2/triceratops-presets.lv2/brass.ttl usr/lib/lv2/triceratops-presets.lv2/brass2.ttl usr/lib/lv2/triceratops-presets.lv2/castral.ttl usr/lib/lv2/triceratops-presets.lv2/clang.ttl usr/lib/lv2/triceratops-presets.lv2/classic_analogue_swell.ttl usr/lib/lv2/triceratops-presets.lv2/creamy_unison.ttl usr/lib/lv2/triceratops-presets.lv2/CZesque_Pad.ttl usr/lib/lv2/triceratops-presets.lv2/czish.ttl usr/lib/lv2/triceratops-presets.lv2/DeepPluck.ttl usr/lib/lv2/triceratops-presets.lv2/dervis_3.ttl usr/lib/lv2/triceratops-presets.lv2/dervish_2.ttl usr/lib/lv2/triceratops-presets.lv2/dervish_3.ttl usr/lib/lv2/triceratops-presets.lv2/dervish.ttl usr/lib/lv2/triceratops-presets.lv2/dominator.ttl usr/lib/lv2/triceratops-presets.lv2/dual_sync_pad.ttl usr/lib/lv2/triceratops-presets.lv2/dubstep_wobble_1.ttl usr/lib/lv2/triceratops-presets.lv2/dubstep_wobble_2.ttl usr/lib/lv2/triceratops-presets.lv2/electro.ttl usr/lib/lv2/triceratops-presets.lv2/eno-esque.ttl usr/lib/lv2/triceratops-presets.lv2/evil_whispers.ttl usr/lib/lv2/triceratops-presets.lv2/First_Exoplanet_Data_2.ttl usr/lib/lv2/triceratops-presets.lv2/First_Exoplanet_Data.ttl usr/lib/lv2/triceratops-presets.lv2/fm_parp_bass.ttl usr/lib/lv2/triceratops-presets.lv2/fm_slight_vox.ttl usr/lib/lv2/triceratops-presets.lv2/fm_twangy.ttl usr/lib/lv2/triceratops-presets.lv2/formant_1.ttl usr/lib/lv2/triceratops-presets.lv2/Glass_Pad.ttl usr/lib/lv2/triceratops-presets.lv2/glassy.ttl usr/lib/lv2/triceratops-presets.lv2/glider.ttl usr/lib/lv2/triceratops-presets.lv2/grossriser.ttl usr/lib/lv2/triceratops-presets.lv2/house_bass.ttl usr/lib/lv2/triceratops-presets.lv2/jarresque_formant.ttl usr/lib/lv2/triceratops-presets.lv2/lazer_bass.ttl usr/lib/lv2/triceratops-presets.lv2/league_bass.ttl usr/lib/lv2/triceratops-presets.lv2/lost_in_space.ttl usr/lib/lv2/triceratops-presets.lv2/magic_orchestra.ttl usr/lib/lv2/triceratops-presets.lv2/manifest.ttl usr/lib/lv2/triceratops-presets.lv2/Mantronic_Bass.ttl usr/lib/lv2/triceratops-presets.lv2/marsian_orchestra_2.ttl usr/lib/lv2/triceratops-presets.lv2/marsian_orchestra.ttl usr/lib/lv2/triceratops-presets.lv2/melancholy_fairytale.ttl usr/lib/lv2/triceratops-presets.lv2/nasty_square.ttl usr/lib/lv2/triceratops-presets.lv2/nervous_in_a_cavern.ttl usr/lib/lv2/triceratops-presets.lv2/nice_square_mod_2.ttl usr/lib/lv2/triceratops-presets.lv2/nice_square_mod_3.ttl usr/lib/lv2/triceratops-presets.lv2/nice_square_mod.ttl usr/lib/lv2/triceratops-presets.lv2/operatic.ttl usr/lib/lv2/triceratops-presets.lv2/pad_0.ttl usr/lib/lv2/triceratops-presets.lv2/pad_2.ttl usr/lib/lv2/triceratops-presets.lv2/PluckBass_2.ttl usr/lib/lv2/triceratops-presets.lv2/pluto.ttl usr/lib/lv2/triceratops-presets.lv2/pure_sine.ttl usr/lib/lv2/triceratops-presets.lv2/pw_bass.ttl usr/lib/lv2/triceratops-presets.lv2/pw_sync.ttl usr/lib/lv2/triceratops-presets.lv2/radiophonic_2.ttl usr/lib/lv2/triceratops-presets.lv2/raggy_rasp.ttl usr/lib/lv2/triceratops-presets.lv2/res_mod.ttl usr/lib/lv2/triceratops-presets.lv2/resonance_bass.ttl usr/lib/lv2/triceratops-presets.lv2/sample_and_hold_1.ttl usr/lib/lv2/triceratops-presets.lv2/scenery_from_the_80s.ttl usr/lib/lv2/triceratops-presets.lv2/scifi.ttl usr/lib/lv2/triceratops-presets.lv2/Selected_Ambient_EPiano.ttl usr/lib/lv2/triceratops-presets.lv2/sevenths.ttl usr/lib/lv2/triceratops-presets.lv2/sh101_esque.ttl usr/lib/lv2/triceratops-presets.lv2/sing.ttl usr/lib/lv2/triceratops-presets.lv2/sissy_spacey_tech.ttl usr/lib/lv2/triceratops-presets.lv2/slow_munching_prions.ttl usr/lib/lv2/triceratops-presets.lv2/stereo_mod_2.ttl usr/lib/lv2/triceratops-presets.lv2/stereo_mod.ttl usr/lib/lv2/triceratops-presets.lv2/sync_bass_2.ttl usr/lib/lv2/triceratops-presets.lv2/sync_bass.ttl usr/lib/lv2/triceratops-presets.lv2/sync_lead_1.ttl usr/lib/lv2/triceratops-presets.lv2/sync_lead.ttl usr/lib/lv2/triceratops-presets.lv2/sync_legato.ttl usr/lib/lv2/triceratops-presets.lv2/synths_had_a_drink.ttl usr/lib/lv2/triceratops-presets.lv2/test_square.ttl usr/lib/lv2/triceratops-presets.lv2/The_Thing_Bass.ttl usr/lib/lv2/triceratops-presets.lv2/theres_no_limits.ttl usr/lib/lv2/triceratops-presets.lv2/valves1.ttl usr/lib/lv2/triceratops-presets.lv2/valves2.ttl usr/lib/lv2/triceratops-presets.lv2/valves3.ttl usr/lib/lv2/triceratops-presets.lv2/warm_7th_2.ttl usr/lib/lv2/triceratops-presets.lv2/warm_7th.ttl usr/lib/lv2/triceratops-presets.lv2/warm_string_echoed.ttl usr/lib/lv2/triceratops-presets.lv2/warm_swells.ttl usr/lib/lv2/triceratops-presets.lv2/warm.ttl usr/lib/lv2/triceratops-presets.lv2/Weird_Harmonic_EPiano.ttl usr/lib/lv2/triceratops-presets.lv2/wendy_bach.ttl usr/lib/lv2/triceratops-presets.lv2/Winds_Of_Mars.ttl usr/lib/lv2/triceratops-presets.lv2/wowow.ttl usr/lib/lv2/triceratops-presets.lv2/yaaaah.ttl usr/lib/lv2/triceratops.lv2/ usr/lib/lv2/triceratops.lv2/logo.png usr/lib/lv2/triceratops.lv2/manifest.ttl usr/lib/lv2/triceratops.lv2/triceratops_categories.txt usr/lib/lv2/triceratops.lv2/triceratops_gui.so usr/lib/lv2/triceratops.lv2/triceratops.conf usr/lib/lv2/triceratops.lv2/triceratops.so usr/lib/lv2/triceratops.lv2/triceratops.ttl
x86_64triceratops0.5.0-2Polyphonic synthesizer LV2 plugin
Close

triceratops 0.5.0-2


Architecture:x86_64
Base Package:triceratops (PKGBUILD)
Description:Polyphonic synthesizer LV2 plugin
Upstream URL:https://sourceforge.net/projects/triceratops/
Groups:lv2-plugins
pro-audio
Provides:triceratops.lv2
Conflicts:triceratops.lv2
Download Size:356.7 kB (Download)
Installed Size:1.3 MB
License:GPL3
Build Date:2022-11-20 17:57 UTC
Dependencies:gtkmm
lv2-host: for loading the LV2 plugin (optional)
lv2 (make)
python (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/triceratops-presets.lv2/ usr/lib/lv2/triceratops-presets.lv2/128-growlie.ttl usr/lib/lv2/triceratops-presets.lv2/5th_bass.ttl usr/lib/lv2/triceratops-presets.lv2/aceeeed.ttl usr/lib/lv2/triceratops-presets.lv2/acid_1.ttl usr/lib/lv2/triceratops-presets.lv2/acid_2.ttl usr/lib/lv2/triceratops-presets.lv2/acid_pw.ttl usr/lib/lv2/triceratops-presets.lv2/after_the_storm.ttl usr/lib/lv2/triceratops-presets.lv2/analogue_single_string.ttl usr/lib/lv2/triceratops-presets.lv2/arpie.ttl usr/lib/lv2/triceratops-presets.lv2/bass_1.ttl usr/lib/lv2/triceratops-presets.lv2/bass_3.ttl usr/lib/lv2/triceratops-presets.lv2/bass_4.ttl usr/lib/lv2/triceratops-presets.lv2/big_pad.ttl usr/lib/lv2/triceratops-presets.lv2/big_trance.ttl usr/lib/lv2/triceratops-presets.lv2/brass.ttl usr/lib/lv2/triceratops-presets.lv2/brass2.ttl usr/lib/lv2/triceratops-presets.lv2/castral.ttl usr/lib/lv2/triceratops-presets.lv2/clang.ttl usr/lib/lv2/triceratops-presets.lv2/classic_analogue_swell.ttl usr/lib/lv2/triceratops-presets.lv2/creamy_unison.ttl usr/lib/lv2/triceratops-presets.lv2/CZesque_Pad.ttl usr/lib/lv2/triceratops-presets.lv2/czish.ttl usr/lib/lv2/triceratops-presets.lv2/DeepPluck.ttl usr/lib/lv2/triceratops-presets.lv2/dervis_3.ttl usr/lib/lv2/triceratops-presets.lv2/dervish_2.ttl usr/lib/lv2/triceratops-presets.lv2/dervish_3.ttl usr/lib/lv2/triceratops-presets.lv2/dervish.ttl usr/lib/lv2/triceratops-presets.lv2/dominator.ttl usr/lib/lv2/triceratops-presets.lv2/dual_sync_pad.ttl usr/lib/lv2/triceratops-presets.lv2/dubstep_wobble_1.ttl usr/lib/lv2/triceratops-presets.lv2/dubstep_wobble_2.ttl usr/lib/lv2/triceratops-presets.lv2/electro.ttl usr/lib/lv2/triceratops-presets.lv2/eno-esque.ttl usr/lib/lv2/triceratops-presets.lv2/evil_whispers.ttl usr/lib/lv2/triceratops-presets.lv2/First_Exoplanet_Data_2.ttl usr/lib/lv2/triceratops-presets.lv2/First_Exoplanet_Data.ttl usr/lib/lv2/triceratops-presets.lv2/fm_parp_bass.ttl usr/lib/lv2/triceratops-presets.lv2/fm_slight_vox.ttl usr/lib/lv2/triceratops-presets.lv2/fm_twangy.ttl usr/lib/lv2/triceratops-presets.lv2/formant_1.ttl usr/lib/lv2/triceratops-presets.lv2/Glass_Pad.ttl usr/lib/lv2/triceratops-presets.lv2/glassy.ttl usr/lib/lv2/triceratops-presets.lv2/glider.ttl usr/lib/lv2/triceratops-presets.lv2/grossriser.ttl usr/lib/lv2/triceratops-presets.lv2/house_bass.ttl usr/lib/lv2/triceratops-presets.lv2/jarresque_formant.ttl usr/lib/lv2/triceratops-presets.lv2/lazer_bass.ttl usr/lib/lv2/triceratops-presets.lv2/league_bass.ttl usr/lib/lv2/triceratops-presets.lv2/lost_in_space.ttl usr/lib/lv2/triceratops-presets.lv2/magic_orchestra.ttl usr/lib/lv2/triceratops-presets.lv2/manifest.ttl usr/lib/lv2/triceratops-presets.lv2/Mantronic_Bass.ttl usr/lib/lv2/triceratops-presets.lv2/marsian_orchestra_2.ttl usr/lib/lv2/triceratops-presets.lv2/marsian_orchestra.ttl usr/lib/lv2/triceratops-presets.lv2/melancholy_fairytale.ttl usr/lib/lv2/triceratops-presets.lv2/nasty_square.ttl usr/lib/lv2/triceratops-presets.lv2/nervous_in_a_cavern.ttl usr/lib/lv2/triceratops-presets.lv2/nice_square_mod_2.ttl usr/lib/lv2/triceratops-presets.lv2/nice_square_mod_3.ttl usr/lib/lv2/triceratops-presets.lv2/nice_square_mod.ttl usr/lib/lv2/triceratops-presets.lv2/operatic.ttl usr/lib/lv2/triceratops-presets.lv2/pad_0.ttl usr/lib/lv2/triceratops-presets.lv2/pad_2.ttl usr/lib/lv2/triceratops-presets.lv2/PluckBass_2.ttl usr/lib/lv2/triceratops-presets.lv2/pluto.ttl usr/lib/lv2/triceratops-presets.lv2/pure_sine.ttl usr/lib/lv2/triceratops-presets.lv2/pw_bass.ttl usr/lib/lv2/triceratops-presets.lv2/pw_sync.ttl usr/lib/lv2/triceratops-presets.lv2/radiophonic_2.ttl usr/lib/lv2/triceratops-presets.lv2/raggy_rasp.ttl usr/lib/lv2/triceratops-presets.lv2/res_mod.ttl usr/lib/lv2/triceratops-presets.lv2/resonance_bass.ttl usr/lib/lv2/triceratops-presets.lv2/sample_and_hold_1.ttl usr/lib/lv2/triceratops-presets.lv2/scenery_from_the_80s.ttl usr/lib/lv2/triceratops-presets.lv2/scifi.ttl usr/lib/lv2/triceratops-presets.lv2/Selected_Ambient_EPiano.ttl usr/lib/lv2/triceratops-presets.lv2/sevenths.ttl usr/lib/lv2/triceratops-presets.lv2/sh101_esque.ttl usr/lib/lv2/triceratops-presets.lv2/sing.ttl usr/lib/lv2/triceratops-presets.lv2/sissy_spacey_tech.ttl usr/lib/lv2/triceratops-presets.lv2/slow_munching_prions.ttl usr/lib/lv2/triceratops-presets.lv2/stereo_mod_2.ttl usr/lib/lv2/triceratops-presets.lv2/stereo_mod.ttl usr/lib/lv2/triceratops-presets.lv2/sync_bass_2.ttl usr/lib/lv2/triceratops-presets.lv2/sync_bass.ttl usr/lib/lv2/triceratops-presets.lv2/sync_lead_1.ttl usr/lib/lv2/triceratops-presets.lv2/sync_lead.ttl usr/lib/lv2/triceratops-presets.lv2/sync_legato.ttl usr/lib/lv2/triceratops-presets.lv2/synths_had_a_drink.ttl usr/lib/lv2/triceratops-presets.lv2/test_square.ttl usr/lib/lv2/triceratops-presets.lv2/The_Thing_Bass.ttl usr/lib/lv2/triceratops-presets.lv2/theres_no_limits.ttl usr/lib/lv2/triceratops-presets.lv2/valves1.ttl usr/lib/lv2/triceratops-presets.lv2/valves2.ttl usr/lib/lv2/triceratops-presets.lv2/valves3.ttl usr/lib/lv2/triceratops-presets.lv2/warm_7th_2.ttl usr/lib/lv2/triceratops-presets.lv2/warm_7th.ttl usr/lib/lv2/triceratops-presets.lv2/warm_string_echoed.ttl usr/lib/lv2/triceratops-presets.lv2/warm_swells.ttl usr/lib/lv2/triceratops-presets.lv2/warm.ttl usr/lib/lv2/triceratops-presets.lv2/Weird_Harmonic_EPiano.ttl usr/lib/lv2/triceratops-presets.lv2/wendy_bach.ttl usr/lib/lv2/triceratops-presets.lv2/Winds_Of_Mars.ttl usr/lib/lv2/triceratops-presets.lv2/wowow.ttl usr/lib/lv2/triceratops-presets.lv2/yaaaah.ttl usr/lib/lv2/triceratops.lv2/ usr/lib/lv2/triceratops.lv2/logo.png usr/lib/lv2/triceratops.lv2/manifest.ttl usr/lib/lv2/triceratops.lv2/triceratops_categories.txt usr/lib/lv2/triceratops.lv2/triceratops_gui.so usr/lib/lv2/triceratops.lv2/triceratops.conf usr/lib/lv2/triceratops.lv2/triceratops.so usr/lib/lv2/triceratops.lv2/triceratops.ttl
x86_64tuxguitar1.5.6-1Multitrack guitar tablature editor and player
Close

tuxguitar 1.5.6-1


Architecture:x86_64
Base Package:tuxguitar (PKGBUILD)
Description:Multitrack guitar tablature editor and player
Upstream URL:https://sourceforge.net/projects/tuxguitar/
Groups:pro-audio
Conflicts:tuxguitar-common
tuxguitar-gtk2
Download Size:10.1 MB (Download)
Installed Size:15.9 MB
License:LGPL
Build Date:2022-04-30 13:48 UTC
Dependencies:glibc
gtk3
hicolor-icon-theme
java-runtime-openjdk=11
libxtst
alsa-lib: playback with ALSA (optional)
fluidsynth: alternative synthesizer for playback (optional)
jack: playback and MIDI support with JACK (optional)
lilv: for LV2 extension (optional)
pulseaudio: playback with PulseAudio (optional)
qt5-base: for LV2 extension (optional)
suil: for LV2 extension (optional)
alsa-lib (make)
fluidsynth (make)
jack (make)
java-environment-openjdk=11 (make)
lilv (make)
maven (make)
qt5-base (make)
suil (make)
Package Contents
usr/ usr/bin/ usr/bin/tuxguitar usr/lib/ usr/lib/tuxguitar/ usr/lib/tuxguitar/libicedtea-sound.so usr/lib/tuxguitar/libtuxguitar-alsa-jni.so usr/lib/tuxguitar/libtuxguitar-fluidsynth-jni.so usr/lib/tuxguitar/libtuxguitar-jack-jni.so usr/lib/tuxguitar/libtuxguitar-synth-lv2-jni.so usr/share/ usr/share/applications/ usr/share/applications/tuxguitar.desktop usr/share/doc/ usr/share/doc/tuxguitar/ usr/share/doc/tuxguitar/help/ usr/share/doc/tuxguitar/help/about.html usr/share/doc/tuxguitar/help/css/ usr/share/doc/tuxguitar/help/css/styles.css usr/share/doc/tuxguitar/help/edit_chord.html usr/share/doc/tuxguitar/help/edit_effects.html usr/share/doc/tuxguitar/help/edit_matrix.html usr/share/doc/tuxguitar/help/editing_song.html usr/share/doc/tuxguitar/help/editing_track.html usr/share/doc/tuxguitar/help/file_formats.html usr/share/doc/tuxguitar/help/images/ usr/share/doc/tuxguitar/help/images/edit/ usr/share/doc/tuxguitar/help/images/edit/chord_dialog.png usr/share/doc/tuxguitar/help/images/edit/chord_settings_dialog.png usr/share/doc/tuxguitar/help/images/edit/ed_lyrics.png usr/share/doc/tuxguitar/help/images/edit/effects_bend.png usr/share/doc/tuxguitar/help/images/edit/effects_grace.png usr/share/doc/tuxguitar/help/images/edit/effects_tremolobar.png usr/share/doc/tuxguitar/help/images/edit/insert_named_chord.png usr/share/doc/tuxguitar/help/images/edit/matrix_editor.png usr/share/doc/tuxguitar/help/images/edit/repeats.png usr/share/doc/tuxguitar/help/images/edit/sed_mixer_dialog.png usr/share/doc/tuxguitar/help/images/edit/typing.png usr/share/doc/tuxguitar/help/images/start/ usr/share/doc/tuxguitar/help/images/start/fs_new_file.png usr/share/doc/tuxguitar/help/images/start/fs_song_table.png usr/share/doc/tuxguitar/help/images/start/fs_track_properties.png usr/share/doc/tuxguitar/help/images/start/p_mode.png usr/share/doc/tuxguitar/help/images/start/tb_add_track.png usr/share/doc/tuxguitar/help/images/start/tb_composition.png usr/share/doc/tuxguitar/help/images/start/tb_duration.png usr/share/doc/tuxguitar/help/images/start/tb_dynamics.png usr/share/doc/tuxguitar/help/images/start/tb_edit_menu.png usr/share/doc/tuxguitar/help/images/start/tb_edit_mode.png usr/share/doc/tuxguitar/help/images/start/tb_effects.png usr/share/doc/tuxguitar/help/images/start/tb_file_menu.png usr/share/doc/tuxguitar/help/images/start/tb_layout.png usr/share/doc/tuxguitar/help/images/start/tb_markers.png usr/share/doc/tuxguitar/help/images/start/tb_note.png usr/share/doc/tuxguitar/help/images/start/tb_properties.png usr/share/doc/tuxguitar/help/images/start/tb_props_diff.png usr/share/doc/tuxguitar/help/images/start/tb_transport.png usr/share/doc/tuxguitar/help/images/start/tb_view.png usr/share/doc/tuxguitar/help/images/tools/ usr/share/doc/tuxguitar/help/images/tools/converter.png usr/share/doc/tuxguitar/help/images/tools/keybinding_editor.png usr/share/doc/tuxguitar/help/images/tools/plugins_dialog.png usr/share/doc/tuxguitar/help/images/tools/settings_skin.png usr/share/doc/tuxguitar/help/index.html usr/share/doc/tuxguitar/help/license.html usr/share/doc/tuxguitar/help/start_first_steps.html usr/share/doc/tuxguitar/help/start_playing.html usr/share/doc/tuxguitar/help/start_toolbars.html usr/share/doc/tuxguitar/help/tools_converter.html usr/share/doc/tuxguitar/help/tools_keybinding.html usr/share/doc/tuxguitar/help/tools_plugins.html usr/share/doc/tuxguitar/help/tools_shortcuts.html usr/share/doc/tuxguitar/help/tools_skin.html usr/share/doc/tuxguitar/help/tools_sound.html usr/share/doc/tuxguitar/help/whatsnew.html usr/share/icons/ usr/share/icons/hicolor/ usr/share/icons/hicolor/16x16/ usr/share/icons/hicolor/16x16/apps/ usr/share/icons/hicolor/16x16/apps/tuxguitar.png usr/share/icons/hicolor/16x16/mimetypes/ usr/share/icons/hicolor/16x16/mimetypes/audio-x-gtp.png usr/share/icons/hicolor/16x16/mimetypes/audio-x-ptb.png usr/share/icons/hicolor/16x16/mimetypes/audio-x-tuxguitar.png usr/share/icons/hicolor/24x24/ usr/share/icons/hicolor/24x24/apps/ usr/share/icons/hicolor/24x24/apps/tuxguitar.png usr/share/icons/hicolor/24x24/mimetypes/ usr/share/icons/hicolor/24x24/mimetypes/audio-x-gtp.png usr/share/icons/hicolor/24x24/mimetypes/audio-x-ptb.png usr/share/icons/hicolor/24x24/mimetypes/audio-x-tuxguitar.png usr/share/icons/hicolor/32x32/ usr/share/icons/hicolor/32x32/apps/ usr/share/icons/hicolor/32x32/apps/tuxguitar.png usr/share/icons/hicolor/32x32/mimetypes/ usr/share/icons/hicolor/32x32/mimetypes/audio-x-gtp.png usr/share/icons/hicolor/32x32/mimetypes/audio-x-ptb.png usr/share/icons/hicolor/32x32/mimetypes/audio-x-tuxguitar.png usr/share/icons/hicolor/48x48/ usr/share/icons/hicolor/48x48/apps/ usr/share/icons/hicolor/48x48/apps/tuxguitar.png usr/share/icons/hicolor/48x48/mimetypes/ usr/share/icons/hicolor/48x48/mimetypes/audio-x-gtp.png usr/share/icons/hicolor/48x48/mimetypes/audio-x-ptb.png usr/share/icons/hicolor/48x48/mimetypes/audio-x-tuxguitar.png usr/share/icons/hicolor/64x64/ usr/share/icons/hicolor/64x64/apps/ usr/share/icons/hicolor/64x64/apps/tuxguitar.png usr/share/icons/hicolor/64x64/mimetypes/ usr/share/icons/hicolor/64x64/mimetypes/audio-x-gtp.png usr/share/icons/hicolor/64x64/mimetypes/audio-x-ptb.png usr/share/icons/hicolor/64x64/mimetypes/audio-x-tuxguitar.png usr/share/icons/hicolor/96x96/ usr/share/icons/hicolor/96x96/apps/ usr/share/icons/hicolor/96x96/apps/tuxguitar.png usr/share/icons/hicolor/96x96/mimetypes/ usr/share/icons/hicolor/96x96/mimetypes/audio-x-gtp.png usr/share/icons/hicolor/96x96/mimetypes/audio-x-ptb.png usr/share/icons/hicolor/96x96/mimetypes/audio-x-tuxguitar.png usr/share/java/ usr/share/java/tuxguitar/ usr/share/java/tuxguitar/commons-compress.jar usr/share/java/tuxguitar/gervill.jar usr/share/java/tuxguitar/icedtea-sound.jar usr/share/java/tuxguitar/itext-pdf.jar usr/share/java/tuxguitar/itext-xmlworker.jar usr/share/java/tuxguitar/swt.jar usr/share/java/tuxguitar/tuxguitar-alsa.jar usr/share/java/tuxguitar/tuxguitar-ascii.jar usr/share/java/tuxguitar/tuxguitar-awt-graphics.jar usr/share/java/tuxguitar/tuxguitar-browser-ftp.jar usr/share/java/tuxguitar/tuxguitar-community.jar usr/share/java/tuxguitar/tuxguitar-compat.jar usr/share/java/tuxguitar/tuxguitar-converter.jar usr/share/java/tuxguitar/tuxguitar-editor-utils.jar usr/share/java/tuxguitar/tuxguitar-fluidsynth.jar usr/share/java/tuxguitar/tuxguitar-gervill.jar usr/share/java/tuxguitar/tuxguitar-gm-settings.jar usr/share/java/tuxguitar/tuxguitar-gm-utils.jar usr/share/java/tuxguitar/tuxguitar-gpx.jar usr/share/java/tuxguitar/tuxguitar-gtp-ui.jar usr/share/java/tuxguitar/tuxguitar-gtp.jar usr/share/java/tuxguitar/tuxguitar-image.jar usr/share/java/tuxguitar/tuxguitar-jack-ui.jar usr/share/java/tuxguitar/tuxguitar-jack.jar usr/share/java/tuxguitar/tuxguitar-jsa.jar usr/share/java/tuxguitar/tuxguitar-lib.jar usr/share/java/tuxguitar/tuxguitar-lilypond-ui.jar usr/share/java/tuxguitar/tuxguitar-lilypond.jar usr/share/java/tuxguitar/tuxguitar-midi-ui.jar usr/share/java/tuxguitar/tuxguitar-midi.jar usr/share/java/tuxguitar/tuxguitar-musicxml.jar usr/share/java/tuxguitar/tuxguitar-pdf-ui.jar usr/share/java/tuxguitar/tuxguitar-pdf.jar usr/share/java/tuxguitar/tuxguitar-ptb.jar usr/share/java/tuxguitar/tuxguitar-svg.jar usr/share/java/tuxguitar/tuxguitar-synth-export.jar usr/share/java/tuxguitar/tuxguitar-synth-gervill.jar usr/share/java/tuxguitar/tuxguitar-synth-lv2.jar usr/share/java/tuxguitar/tuxguitar-synth.jar usr/share/java/tuxguitar/tuxguitar-tef.jar usr/share/java/tuxguitar/tuxguitar-tuner.jar usr/share/java/tuxguitar/tuxguitar-ui-toolkit-swt.jar usr/share/java/tuxguitar/tuxguitar-ui-toolkit.jar usr/share/java/tuxguitar/tuxguitar.jar usr/share/mime/ usr/share/mime/packages/ usr/share/mime/packages/tuxguitar.xml usr/share/tuxguitar/ usr/share/tuxguitar/dist/ usr/share/tuxguitar/dist/about_authors.dist usr/share/tuxguitar/dist/about_description.dist usr/share/tuxguitar/dist/about_license.dist usr/share/tuxguitar/dist/tuxguitar-fluidsynth.cfg usr/share/tuxguitar/dist/tuxguitar-jsa.cfg usr/share/tuxguitar/dist/tuxguitar-plugin-settings.cfg usr/share/tuxguitar/dist/tuxguitar-shortcuts.xml usr/share/tuxguitar/dist/tuxguitar-synth-lv2.cfg usr/share/tuxguitar/dist/tuxguitar-synth-vst.cfg usr/share/tuxguitar/dist/tuxguitar-synth.cfg usr/share/tuxguitar/dist/tuxguitar.cfg usr/share/tuxguitar/doc/ usr/share/tuxguitar/doc/AUTHORS usr/share/tuxguitar/doc/CHANGES usr/share/tuxguitar/doc/LICENSE usr/share/tuxguitar/doc/README usr/share/tuxguitar/lv2-client/ usr/share/tuxguitar/lv2-client/tuxguitar-synth-lv2.bin usr/share/tuxguitar/lv2-client/tuxguitar-synth-lv2.png usr/share/tuxguitar/share/ usr/share/tuxguitar/share/lang/ usr/share/tuxguitar/share/lang/messages_bg.properties usr/share/tuxguitar/share/lang/messages_ca.properties usr/share/tuxguitar/share/lang/messages_cs.properties usr/share/tuxguitar/share/lang/messages_de.properties usr/share/tuxguitar/share/lang/messages_el.properties usr/share/tuxguitar/share/lang/messages_en.properties usr/share/tuxguitar/share/lang/messages_es.properties usr/share/tuxguitar/share/lang/messages_eu.properties usr/share/tuxguitar/share/lang/messages_fi.properties usr/share/tuxguitar/share/lang/messages_fr.properties usr/share/tuxguitar/share/lang/messages_hu.properties usr/share/tuxguitar/share/lang/messages_it.properties usr/share/tuxguitar/share/lang/messages_ja.properties usr/share/tuxguitar/share/lang/messages_lt.properties usr/share/tuxguitar/share/lang/messages_nl.properties usr/share/tuxguitar/share/lang/messages_pl.properties usr/share/tuxguitar/share/lang/messages_pt.properties usr/share/tuxguitar/share/lang/messages_ru.properties usr/share/tuxguitar/share/lang/messages_sr.properties usr/share/tuxguitar/share/lang/messages_sv.properties usr/share/tuxguitar/share/lang/messages_uk.properties usr/share/tuxguitar/share/lang/messages_vi.properties usr/share/tuxguitar/share/lang/messages_zh_GB.properties usr/share/tuxguitar/share/lang/messages_zh_TW.properties usr/share/tuxguitar/share/lang/messages.properties usr/share/tuxguitar/share/scales/ usr/share/tuxguitar/share/scales/scales.xml usr/share/tuxguitar/share/skins/ usr/share/tuxguitar/share/skins/blue_serious/ usr/share/tuxguitar/share/skins/blue_serious/1.png usr/share/tuxguitar/share/skins/blue_serious/16.png usr/share/tuxguitar/share/skins/blue_serious/2.png usr/share/tuxguitar/share/skins/blue_serious/32.png usr/share/tuxguitar/share/skins/blue_serious/4.png usr/share/tuxguitar/share/skins/blue_serious/64.png usr/share/tuxguitar/share/skins/blue_serious/8.png usr/share/tuxguitar/share/skins/blue_serious/about_authors.png usr/share/tuxguitar/share/skins/blue_serious/about_description.png usr/share/tuxguitar/share/skins/blue_serious/about_license.png usr/share/tuxguitar/share/skins/blue_serious/arrow_down.png usr/share/tuxguitar/share/skins/blue_serious/arrow_left.png usr/share/tuxguitar/share/skins/blue_serious/arrow_right.png usr/share/tuxguitar/share/skins/blue_serious/arrow_up.png usr/share/tuxguitar/share/skins/blue_serious/browser_back.png usr/share/tuxguitar/share/skins/blue_serious/browser_file.png usr/share/tuxguitar/share/skins/blue_serious/browser_folder.png usr/share/tuxguitar/share/skins/blue_serious/browser_new.png usr/share/tuxguitar/share/skins/blue_serious/browser_refresh.png usr/share/tuxguitar/share/skins/blue_serious/browser_root.png usr/share/tuxguitar/share/skins/blue_serious/chord.png usr/share/tuxguitar/share/skins/blue_serious/closerepeat.png usr/share/tuxguitar/share/skins/blue_serious/division-type.png usr/share/tuxguitar/share/skins/blue_serious/dotted.png usr/share/tuxguitar/share/skins/blue_serious/doubledotted.png usr/share/tuxguitar/share/skins/blue_serious/dynamic_f.png usr/share/tuxguitar/share/skins/blue_serious/dynamic_ff.png usr/share/tuxguitar/share/skins/blue_serious/dynamic_fff.png usr/share/tuxguitar/share/skins/blue_serious/dynamic_mf.png usr/share/tuxguitar/share/skins/blue_serious/dynamic_mp.png usr/share/tuxguitar/share/skins/blue_serious/dynamic_p.png usr/share/tuxguitar/share/skins/blue_serious/dynamic_pp.png usr/share/tuxguitar/share/skins/blue_serious/dynamic_ppp.png usr/share/tuxguitar/share/skins/blue_serious/edit_mode_edition_no_natural.png usr/share/tuxguitar/share/skins/blue_serious/edit_mode_edition.png usr/share/tuxguitar/share/skins/blue_serious/edit_mode_selection.png usr/share/tuxguitar/share/skins/blue_serious/edit_redo.png usr/share/tuxguitar/share/skins/blue_serious/edit_undo.png usr/share/tuxguitar/share/skins/blue_serious/edit_voice_1.png usr/share/tuxguitar/share/skins/blue_serious/edit_voice_2.png usr/share/tuxguitar/share/skins/blue_serious/effect_accentuated.png usr/share/tuxguitar/share/skins/blue_serious/effect_bend.png usr/share/tuxguitar/share/skins/blue_serious/effect_dead.png usr/share/tuxguitar/share/skins/blue_serious/effect_fade_in.png usr/share/tuxguitar/share/skins/blue_serious/effect_ghost.png usr/share/tuxguitar/share/skins/blue_serious/effect_grace.png usr/share/tuxguitar/share/skins/blue_serious/effect_hammer.png usr/share/tuxguitar/share/skins/blue_serious/effect_harmonic.png usr/share/tuxguitar/share/skins/blue_serious/effect_heavy_accentuated.png usr/share/tuxguitar/share/skins/blue_serious/effect_palm_mute.png usr/share/tuxguitar/share/skins/blue_serious/effect_popping.png usr/share/tuxguitar/share/skins/blue_serious/effect_slapping.png usr/share/tuxguitar/share/skins/blue_serious/effect_slide.png usr/share/tuxguitar/share/skins/blue_serious/effect_staccato.png usr/share/tuxguitar/share/skins/blue_serious/effect_tapping.png usr/share/tuxguitar/share/skins/blue_serious/effect_tremolo_bar.png usr/share/tuxguitar/share/skins/blue_serious/effect_tremolo_picking.png usr/share/tuxguitar/share/skins/blue_serious/effect_trill.png usr/share/tuxguitar/share/skins/blue_serious/effect_vibrato.png usr/share/tuxguitar/share/skins/blue_serious/firstfret.png usr/share/tuxguitar/share/skins/blue_serious/fret.png usr/share/tuxguitar/share/skins/blue_serious/fretboard.png usr/share/tuxguitar/share/skins/blue_serious/grace.png usr/share/tuxguitar/share/skins/blue_serious/icon-16x16.png usr/share/tuxguitar/share/skins/blue_serious/icon-24x24.png usr/share/tuxguitar/share/skins/blue_serious/icon-32x32.png usr/share/tuxguitar/share/skins/blue_serious/icon-48x48.png usr/share/tuxguitar/share/skins/blue_serious/icon-64x64.png usr/share/tuxguitar/share/skins/blue_serious/icon-96x96.png usr/share/tuxguitar/share/skins/blue_serious/icon.icns usr/share/tuxguitar/share/skins/blue_serious/icon.ico usr/share/tuxguitar/share/skins/blue_serious/icon.png usr/share/tuxguitar/share/skins/blue_serious/layout_compact.png usr/share/tuxguitar/share/skins/blue_serious/layout_linear.png usr/share/tuxguitar/share/skins/blue_serious/layout_multitrack.png usr/share/tuxguitar/share/skins/blue_serious/layout_page.png usr/share/tuxguitar/share/skins/blue_serious/layout_score.png usr/share/tuxguitar/share/skins/blue_serious/list_add.png usr/share/tuxguitar/share/skins/blue_serious/list_edit.png usr/share/tuxguitar/share/skins/blue_serious/list_move_down.png usr/share/tuxguitar/share/skins/blue_serious/list_move_up.png usr/share/tuxguitar/share/skins/blue_serious/list_remove.png usr/share/tuxguitar/share/skins/blue_serious/logo.png usr/share/tuxguitar/share/skins/blue_serious/marker_add.png usr/share/tuxguitar/share/skins/blue_serious/marker_first.png usr/share/tuxguitar/share/skins/blue_serious/marker_last.png usr/share/tuxguitar/share/skins/blue_serious/marker_list.png usr/share/tuxguitar/share/skins/blue_serious/marker_next.png usr/share/tuxguitar/share/skins/blue_serious/marker_previous.png usr/share/tuxguitar/share/skins/blue_serious/marker_remove.png usr/share/tuxguitar/share/skins/blue_serious/mixer.png usr/share/tuxguitar/share/skins/blue_serious/new.png usr/share/tuxguitar/share/skins/blue_serious/open.png usr/share/tuxguitar/share/skins/blue_serious/openrepeat.png usr/share/tuxguitar/share/skins/blue_serious/option_language.png usr/share/tuxguitar/share/skins/blue_serious/option_skin.png usr/share/tuxguitar/share/skins/blue_serious/option_sound.png usr/share/tuxguitar/share/skins/blue_serious/option_style.png usr/share/tuxguitar/share/skins/blue_serious/option_toolbars.png usr/share/tuxguitar/share/skins/blue_serious/option_view.png usr/share/tuxguitar/share/skins/blue_serious/print-preview.png usr/share/tuxguitar/share/skins/blue_serious/print.png usr/share/tuxguitar/share/skins/blue_serious/repeat_alternative.png usr/share/tuxguitar/share/skins/blue_serious/save-as.png usr/share/tuxguitar/share/skins/blue_serious/save.png usr/share/tuxguitar/share/skins/blue_serious/settings.png usr/share/tuxguitar/share/skins/blue_serious/skin-preview.png usr/share/tuxguitar/share/skins/blue_serious/skin.info usr/share/tuxguitar/share/skins/blue_serious/skin.svg usr/share/tuxguitar/share/skins/blue_serious/song_properties.png usr/share/tuxguitar/share/skins/blue_serious/splash.png usr/share/tuxguitar/share/skins/blue_serious/status_error.png usr/share/tuxguitar/share/skins/blue_serious/status_info.png usr/share/tuxguitar/share/skins/blue_serious/status_question.png usr/share/tuxguitar/share/skins/blue_serious/status_warning.png usr/share/tuxguitar/share/skins/blue_serious/stroke_down.png usr/share/tuxguitar/share/skins/blue_serious/stroke_up.png usr/share/tuxguitar/share/skins/blue_serious/tempo.png usr/share/tuxguitar/share/skins/blue_serious/tempoicon.png usr/share/tuxguitar/share/skins/blue_serious/text.png usr/share/tuxguitar/share/skins/blue_serious/tiednote.png usr/share/tuxguitar/share/skins/blue_serious/timesignature.png usr/share/tuxguitar/share/skins/blue_serious/toolbar_edit.png usr/share/tuxguitar/share/skins/blue_serious/track_add.png usr/share/tuxguitar/share/skins/blue_serious/track_remove.png usr/share/tuxguitar/share/skins/blue_serious/transport_first_1.png usr/share/tuxguitar/share/skins/blue_serious/transport_first_2.png usr/share/tuxguitar/share/skins/blue_serious/transport_icon_first_1.png usr/share/tuxguitar/share/skins/blue_serious/transport_icon_first_2.png usr/share/tuxguitar/share/skins/blue_serious/transport_icon_last_1.png usr/share/tuxguitar/share/skins/blue_serious/transport_icon_last_2.png usr/share/tuxguitar/share/skins/blue_serious/transport_icon_next_1.png usr/share/tuxguitar/share/skins/blue_serious/transport_icon_next_2.png usr/share/tuxguitar/share/skins/blue_serious/transport_icon_pause.png usr/share/tuxguitar/share/skins/blue_serious/transport_icon_play_1.png usr/share/tuxguitar/share/skins/blue_serious/transport_icon_play_2.png usr/share/tuxguitar/share/skins/blue_serious/transport_icon_previous_1.png usr/share/tuxguitar/share/skins/blue_serious/transport_icon_previous_2.png usr/share/tuxguitar/share/skins/blue_serious/transport_icon_stop_1.png usr/share/tuxguitar/share/skins/blue_serious/transport_icon_stop_2.png usr/share/tuxguitar/share/skins/blue_serious/transport_last_1.png usr/share/tuxguitar/share/skins/blue_serious/transport_last_2.png usr/share/tuxguitar/share/skins/blue_serious/transport_metronome.png usr/share/tuxguitar/share/skins/blue_serious/transport_mode.png usr/share/tuxguitar/share/skins/blue_serious/transport_next_1.png usr/share/tuxguitar/share/skins/blue_serious/transport_next_2.png usr/share/tuxguitar/share/skins/blue_serious/transport_pause.png usr/share/tuxguitar/share/skins/blue_serious/transport_play_1.png usr/share/tuxguitar/share/skins/blue_serious/transport_play_2.png usr/share/tuxguitar/share/skins/blue_serious/transport_previous_1.png usr/share/tuxguitar/share/skins/blue_serious/transport_previous_2.png usr/share/tuxguitar/share/skins/blue_serious/transport_stop_1.png usr/share/tuxguitar/share/skins/blue_serious/transport_stop_2.png usr/share/tuxguitar/share/skins/blue_serious/transport.png usr/share/tuxguitar/share/skins/blue_serious/tupleto.png usr/share/tuxguitar/share/skins/ersplus/ usr/share/tuxguitar/share/skins/ersplus/1.png usr/share/tuxguitar/share/skins/ersplus/16.png usr/share/tuxguitar/share/skins/ersplus/2.png usr/share/tuxguitar/share/skins/ersplus/32.png usr/share/tuxguitar/share/skins/ersplus/4.png usr/share/tuxguitar/share/skins/ersplus/64.png usr/share/tuxguitar/share/skins/ersplus/8.png usr/share/tuxguitar/share/skins/ersplus/about_authors.png usr/share/tuxguitar/share/skins/ersplus/about_description.png usr/share/tuxguitar/share/skins/ersplus/about_license.png usr/share/tuxguitar/share/skins/ersplus/arrow_down.png usr/share/tuxguitar/share/skins/ersplus/arrow_left.png usr/share/tuxguitar/share/skins/ersplus/arrow_right.png usr/share/tuxguitar/share/skins/ersplus/arrow_up.png usr/share/tuxguitar/share/skins/ersplus/browser_back.png usr/share/tuxguitar/share/skins/ersplus/browser_file.png usr/share/tuxguitar/share/skins/ersplus/browser_folder.png usr/share/tuxguitar/share/skins/ersplus/browser_new.png usr/share/tuxguitar/share/skins/ersplus/browser_refresh.png usr/share/tuxguitar/share/skins/ersplus/browser_root.png usr/share/tuxguitar/share/skins/ersplus/chord.png usr/share/tuxguitar/share/skins/ersplus/closerepeat.png usr/share/tuxguitar/share/skins/ersplus/division-type.png usr/share/tuxguitar/share/skins/ersplus/dotted.png usr/share/tuxguitar/share/skins/ersplus/doubledotted.png usr/share/tuxguitar/share/skins/ersplus/dynamic_f.png usr/share/tuxguitar/share/skins/ersplus/dynamic_ff.png usr/share/tuxguitar/share/skins/ersplus/dynamic_fff.png usr/share/tuxguitar/share/skins/ersplus/dynamic_mf.png usr/share/tuxguitar/share/skins/ersplus/dynamic_mp.png usr/share/tuxguitar/share/skins/ersplus/dynamic_p.png usr/share/tuxguitar/share/skins/ersplus/dynamic_pp.png usr/share/tuxguitar/share/skins/ersplus/dynamic_ppp.png usr/share/tuxguitar/share/skins/ersplus/edit_mode_edition_no_natural.png usr/share/tuxguitar/share/skins/ersplus/edit_mode_edition.png usr/share/tuxguitar/share/skins/ersplus/edit_mode_selection.png usr/share/tuxguitar/share/skins/ersplus/edit_redo.png usr/share/tuxguitar/share/skins/ersplus/edit_undo.png usr/share/tuxguitar/share/skins/ersplus/edit_voice_1.png usr/share/tuxguitar/share/skins/ersplus/edit_voice_2.png usr/share/tuxguitar/share/skins/ersplus/effect_accentuated.png usr/share/tuxguitar/share/skins/ersplus/effect_bend.png usr/share/tuxguitar/share/skins/ersplus/effect_dead.png usr/share/tuxguitar/share/skins/ersplus/effect_fade_in.png usr/share/tuxguitar/share/skins/ersplus/effect_ghost.png usr/share/tuxguitar/share/skins/ersplus/effect_grace.png usr/share/tuxguitar/share/skins/ersplus/effect_hammer.png usr/share/tuxguitar/share/skins/ersplus/effect_harmonic.png usr/share/tuxguitar/share/skins/ersplus/effect_heavy_accentuated.png usr/share/tuxguitar/share/skins/ersplus/effect_palm_mute.png usr/share/tuxguitar/share/skins/ersplus/effect_popping.png usr/share/tuxguitar/share/skins/ersplus/effect_slapping.png usr/share/tuxguitar/share/skins/ersplus/effect_slide.png usr/share/tuxguitar/share/skins/ersplus/effect_staccato.png usr/share/tuxguitar/share/skins/ersplus/effect_tapping.png usr/share/tuxguitar/share/skins/ersplus/effect_tremolo_bar.png usr/share/tuxguitar/share/skins/ersplus/effect_tremolo_picking.png usr/share/tuxguitar/share/skins/ersplus/effect_trill.png usr/share/tuxguitar/share/skins/ersplus/effect_vibrato.png usr/share/tuxguitar/share/skins/ersplus/firstfret.png usr/share/tuxguitar/share/skins/ersplus/fret.png usr/share/tuxguitar/share/skins/ersplus/fretboard.png usr/share/tuxguitar/share/skins/ersplus/grace.png usr/share/tuxguitar/share/skins/ersplus/icon-16x16.png usr/share/tuxguitar/share/skins/ersplus/icon-24x24.png usr/share/tuxguitar/share/skins/ersplus/icon-32x32.png usr/share/tuxguitar/share/skins/ersplus/icon-48x48.png usr/share/tuxguitar/share/skins/ersplus/icon-64x64.png usr/share/tuxguitar/share/skins/ersplus/icon-96x96.png usr/share/tuxguitar/share/skins/ersplus/icon.icns usr/share/tuxguitar/share/skins/ersplus/icon.ico usr/share/tuxguitar/share/skins/ersplus/icon.png usr/share/tuxguitar/share/skins/ersplus/layout_compact.png usr/share/tuxguitar/share/skins/ersplus/layout_linear.png usr/share/tuxguitar/share/skins/ersplus/layout_multitrack.png usr/share/tuxguitar/share/skins/ersplus/layout_page.png usr/share/tuxguitar/share/skins/ersplus/layout_score.png usr/share/tuxguitar/share/skins/ersplus/list_add.png usr/share/tuxguitar/share/skins/ersplus/list_edit.png usr/share/tuxguitar/share/skins/ersplus/list_move_down.png usr/share/tuxguitar/share/skins/ersplus/list_move_up.png usr/share/tuxguitar/share/skins/ersplus/list_remove.png usr/share/tuxguitar/share/skins/ersplus/logo.png usr/share/tuxguitar/share/skins/ersplus/marker_add.png usr/share/tuxguitar/share/skins/ersplus/marker_first.png usr/share/tuxguitar/share/skins/ersplus/marker_last.png usr/share/tuxguitar/share/skins/ersplus/marker_list.png usr/share/tuxguitar/share/skins/ersplus/marker_next.png usr/share/tuxguitar/share/skins/ersplus/marker_previous.png usr/share/tuxguitar/share/skins/ersplus/marker_remove.png usr/share/tuxguitar/share/skins/ersplus/mixer.png usr/share/tuxguitar/share/skins/ersplus/new.png usr/share/tuxguitar/share/skins/ersplus/open.png usr/share/tuxguitar/share/skins/ersplus/openrepeat.png usr/share/tuxguitar/share/skins/ersplus/option_language.png usr/share/tuxguitar/share/skins/ersplus/option_skin.png usr/share/tuxguitar/share/skins/ersplus/option_sound.png usr/share/tuxguitar/share/skins/ersplus/option_style.png usr/share/tuxguitar/share/skins/ersplus/option_toolbars.png usr/share/tuxguitar/share/skins/ersplus/option_view.png usr/share/tuxguitar/share/skins/ersplus/print-preview.png usr/share/tuxguitar/share/skins/ersplus/print.png usr/share/tuxguitar/share/skins/ersplus/repeat_alternative.png usr/share/tuxguitar/share/skins/ersplus/save-as.png usr/share/tuxguitar/share/skins/ersplus/save.png usr/share/tuxguitar/share/skins/ersplus/settings.png usr/share/tuxguitar/share/skins/ersplus/skin-preview.png usr/share/tuxguitar/share/skins/ersplus/skin.info usr/share/tuxguitar/share/skins/ersplus/skin.svg usr/share/tuxguitar/share/skins/ersplus/song_properties.png usr/share/tuxguitar/share/skins/ersplus/splash.png usr/share/tuxguitar/share/skins/ersplus/status_error.png usr/share/tuxguitar/share/skins/ersplus/status_info.png usr/share/tuxguitar/share/skins/ersplus/status_question.png usr/share/tuxguitar/share/skins/ersplus/status_warning.png usr/share/tuxguitar/share/skins/ersplus/stroke_down.png usr/share/tuxguitar/share/skins/ersplus/stroke_up.png usr/share/tuxguitar/share/skins/ersplus/tempo.png usr/share/tuxguitar/share/skins/ersplus/tempoicon.png usr/share/tuxguitar/share/skins/ersplus/text.png usr/share/tuxguitar/share/skins/ersplus/tiednote.png usr/share/tuxguitar/share/skins/ersplus/timesignature.png usr/share/tuxguitar/share/skins/ersplus/toolbar_edit.png usr/share/tuxguitar/share/skins/ersplus/track_add.png usr/share/tuxguitar/share/skins/ersplus/track_remove.png usr/share/tuxguitar/share/skins/ersplus/transport_first_1.png usr/share/tuxguitar/share/skins/ersplus/transport_first_2.png usr/share/tuxguitar/share/skins/ersplus/transport_icon_first_1.png usr/share/tuxguitar/share/skins/ersplus/transport_icon_first_2.png usr/share/tuxguitar/share/skins/ersplus/transport_icon_last_1.png usr/share/tuxguitar/share/skins/ersplus/transport_icon_last_2.png usr/share/tuxguitar/share/skins/ersplus/transport_icon_next_1.png usr/share/tuxguitar/share/skins/ersplus/transport_icon_next_2.png usr/share/tuxguitar/share/skins/ersplus/transport_icon_pause.png usr/share/tuxguitar/share/skins/ersplus/transport_icon_play_1.png usr/share/tuxguitar/share/skins/ersplus/transport_icon_play_2.png usr/share/tuxguitar/share/skins/ersplus/transport_icon_previous_1.png usr/share/tuxguitar/share/skins/ersplus/transport_icon_previous_2.png usr/share/tuxguitar/share/skins/ersplus/transport_icon_stop_1.png usr/share/tuxguitar/share/skins/ersplus/transport_icon_stop_2.png usr/share/tuxguitar/share/skins/ersplus/transport_last_1.png usr/share/tuxguitar/share/skins/ersplus/transport_last_2.png usr/share/tuxguitar/share/skins/ersplus/transport_metronome.png usr/share/tuxguitar/share/skins/ersplus/transport_mode.png usr/share/tuxguitar/share/skins/ersplus/transport_next_1.png usr/share/tuxguitar/share/skins/ersplus/transport_next_2.png usr/share/tuxguitar/share/skins/ersplus/transport_pause.png usr/share/tuxguitar/share/skins/ersplus/transport_play_1.png usr/share/tuxguitar/share/skins/ersplus/transport_play_2.png usr/share/tuxguitar/share/skins/ersplus/transport_previous_1.png usr/share/tuxguitar/share/skins/ersplus/transport_previous_2.png usr/share/tuxguitar/share/skins/ersplus/transport_stop_1.png usr/share/tuxguitar/share/skins/ersplus/transport_stop_2.png usr/share/tuxguitar/share/skins/ersplus/transport.png usr/share/tuxguitar/share/skins/Lavender/ usr/share/tuxguitar/share/skins/Lavender/1.png usr/share/tuxguitar/share/skins/Lavender/16.png usr/share/tuxguitar/share/skins/Lavender/2.png usr/share/tuxguitar/share/skins/Lavender/32.png usr/share/tuxguitar/share/skins/Lavender/4.png usr/share/tuxguitar/share/skins/Lavender/64.png usr/share/tuxguitar/share/skins/Lavender/8.png usr/share/tuxguitar/share/skins/Lavender/about_authors.png usr/share/tuxguitar/share/skins/Lavender/about_description.png usr/share/tuxguitar/share/skins/Lavender/about_license.png usr/share/tuxguitar/share/skins/Lavender/arrow_down.png usr/share/tuxguitar/share/skins/Lavender/arrow_left.png usr/share/tuxguitar/share/skins/Lavender/arrow_right.png usr/share/tuxguitar/share/skins/Lavender/arrow_up.png usr/share/tuxguitar/share/skins/Lavender/browser_back.png usr/share/tuxguitar/share/skins/Lavender/browser_file.png usr/share/tuxguitar/share/skins/Lavender/browser_folder.png usr/share/tuxguitar/share/skins/Lavender/browser_new.png usr/share/tuxguitar/share/skins/Lavender/browser_refresh.png usr/share/tuxguitar/share/skins/Lavender/browser_root.png usr/share/tuxguitar/share/skins/Lavender/chord.png usr/share/tuxguitar/share/skins/Lavender/closerepeat.png usr/share/tuxguitar/share/skins/Lavender/division-type.png usr/share/tuxguitar/share/skins/Lavender/dotted.png usr/share/tuxguitar/share/skins/Lavender/doubledotted.png usr/share/tuxguitar/share/skins/Lavender/dynamic_f.png usr/share/tuxguitar/share/skins/Lavender/dynamic_ff.png usr/share/tuxguitar/share/skins/Lavender/dynamic_fff.png usr/share/tuxguitar/share/skins/Lavender/dynamic_mf.png usr/share/tuxguitar/share/skins/Lavender/dynamic_mp.png usr/share/tuxguitar/share/skins/Lavender/dynamic_p.png usr/share/tuxguitar/share/skins/Lavender/dynamic_pp.png usr/share/tuxguitar/share/skins/Lavender/dynamic_ppp.png usr/share/tuxguitar/share/skins/Lavender/edit_mode_edition_no_natural.png usr/share/tuxguitar/share/skins/Lavender/edit_mode_edition.png usr/share/tuxguitar/share/skins/Lavender/edit_mode_selection.png usr/share/tuxguitar/share/skins/Lavender/edit_redo.png usr/share/tuxguitar/share/skins/Lavender/edit_undo.png usr/share/tuxguitar/share/skins/Lavender/edit_voice_1.png usr/share/tuxguitar/share/skins/Lavender/edit_voice_2.png usr/share/tuxguitar/share/skins/Lavender/effect_accentuated.png usr/share/tuxguitar/share/skins/Lavender/effect_bend.png usr/share/tuxguitar/share/skins/Lavender/effect_dead.png usr/share/tuxguitar/share/skins/Lavender/effect_fade_in.png usr/share/tuxguitar/share/skins/Lavender/effect_ghost.png usr/share/tuxguitar/share/skins/Lavender/effect_grace.png usr/share/tuxguitar/share/skins/Lavender/effect_hammer.png usr/share/tuxguitar/share/skins/Lavender/effect_harmonic.png usr/share/tuxguitar/share/skins/Lavender/effect_heavy_accentuated.png usr/share/tuxguitar/share/skins/Lavender/effect_palm_mute.png usr/share/tuxguitar/share/skins/Lavender/effect_popping.png usr/share/tuxguitar/share/skins/Lavender/effect_slapping.png usr/share/tuxguitar/share/skins/Lavender/effect_slide.png usr/share/tuxguitar/share/skins/Lavender/effect_staccato.png usr/share/tuxguitar/share/skins/Lavender/effect_tapping.png usr/share/tuxguitar/share/skins/Lavender/effect_tremolo_bar.png usr/share/tuxguitar/share/skins/Lavender/effect_tremolo_picking.png usr/share/tuxguitar/share/skins/Lavender/effect_trill.png usr/share/tuxguitar/share/skins/Lavender/effect_vibrato.png usr/share/tuxguitar/share/skins/Lavender/firstfret.png usr/share/tuxguitar/share/skins/Lavender/fret.png usr/share/tuxguitar/share/skins/Lavender/fretboard.png usr/share/tuxguitar/share/skins/Lavender/grace.png usr/share/tuxguitar/share/skins/Lavender/icon-16x16.png usr/share/tuxguitar/share/skins/Lavender/icon-24x24.png usr/share/tuxguitar/share/skins/Lavender/icon-32x32.png usr/share/tuxguitar/share/skins/Lavender/icon-48x48.png usr/share/tuxguitar/share/skins/Lavender/icon-64x64.png usr/share/tuxguitar/share/skins/Lavender/icon-96x96.png usr/share/tuxguitar/share/skins/Lavender/icon.icns usr/share/tuxguitar/share/skins/Lavender/icon.ico usr/share/tuxguitar/share/skins/Lavender/icon.png usr/share/tuxguitar/share/skins/Lavender/layout_compact.png usr/share/tuxguitar/share/skins/Lavender/layout_linear.png usr/share/tuxguitar/share/skins/Lavender/layout_multitrack.png usr/share/tuxguitar/share/skins/Lavender/layout_page.png usr/share/tuxguitar/share/skins/Lavender/layout_score.png usr/share/tuxguitar/share/skins/Lavender/list_add.png usr/share/tuxguitar/share/skins/Lavender/list_edit.png usr/share/tuxguitar/share/skins/Lavender/list_move_down.png usr/share/tuxguitar/share/skins/Lavender/list_move_up.png usr/share/tuxguitar/share/skins/Lavender/list_remove.png usr/share/tuxguitar/share/skins/Lavender/logo.png usr/share/tuxguitar/share/skins/Lavender/marker_add.png usr/share/tuxguitar/share/skins/Lavender/marker_first.png usr/share/tuxguitar/share/skins/Lavender/marker_last.png usr/share/tuxguitar/share/skins/Lavender/marker_list.png usr/share/tuxguitar/share/skins/Lavender/marker_next.png usr/share/tuxguitar/share/skins/Lavender/marker_previous.png usr/share/tuxguitar/share/skins/Lavender/marker_remove.png usr/share/tuxguitar/share/skins/Lavender/mixer.png usr/share/tuxguitar/share/skins/Lavender/new.png usr/share/tuxguitar/share/skins/Lavender/open.png usr/share/tuxguitar/share/skins/Lavender/openrepeat.png usr/share/tuxguitar/share/skins/Lavender/option_language.png usr/share/tuxguitar/share/skins/Lavender/option_skin.png usr/share/tuxguitar/share/skins/Lavender/option_sound.png usr/share/tuxguitar/share/skins/Lavender/option_style.png usr/share/tuxguitar/share/skins/Lavender/option_toolbars.png usr/share/tuxguitar/share/skins/Lavender/option_view.png usr/share/tuxguitar/share/skins/Lavender/print-preview.png usr/share/tuxguitar/share/skins/Lavender/print.png usr/share/tuxguitar/share/skins/Lavender/repeat_alternative.png usr/share/tuxguitar/share/skins/Lavender/save-as.png usr/share/tuxguitar/share/skins/Lavender/save.png usr/share/tuxguitar/share/skins/Lavender/settings.png usr/share/tuxguitar/share/skins/Lavender/skin-preview.png usr/share/tuxguitar/share/skins/Lavender/skin.info usr/share/tuxguitar/share/skins/Lavender/song_properties.png usr/share/tuxguitar/share/skins/Lavender/splash.png usr/share/tuxguitar/share/skins/Lavender/status_error.png usr/share/tuxguitar/share/skins/Lavender/status_info.png usr/share/tuxguitar/share/skins/Lavender/status_question.png usr/share/tuxguitar/share/skins/Lavender/status_warning.png usr/share/tuxguitar/share/skins/Lavender/stroke_down.png usr/share/tuxguitar/share/skins/Lavender/stroke_up.png usr/share/tuxguitar/share/skins/Lavender/tempo.png usr/share/tuxguitar/share/skins/Lavender/tempoicon.png usr/share/tuxguitar/share/skins/Lavender/text.png usr/share/tuxguitar/share/skins/Lavender/tgdoc.icns usr/share/tuxguitar/share/skins/Lavender/tiednote.png usr/share/tuxguitar/share/skins/Lavender/timesignature.png usr/share/tuxguitar/share/skins/Lavender/toolbar_edit.png usr/share/tuxguitar/share/skins/Lavender/track_add.png usr/share/tuxguitar/share/skins/Lavender/track_remove.png usr/share/tuxguitar/share/skins/Lavender/transport_first_1.png usr/share/tuxguitar/share/skins/Lavender/transport_first_2.png usr/share/tuxguitar/share/skins/Lavender/transport_icon_first_1.png usr/share/tuxguitar/share/skins/Lavender/transport_icon_first_2.png usr/share/tuxguitar/share/skins/Lavender/transport_icon_last_1.png usr/share/tuxguitar/share/skins/Lavender/transport_icon_last_2.png usr/share/tuxguitar/share/skins/Lavender/transport_icon_next_1.png usr/share/tuxguitar/share/skins/Lavender/transport_icon_next_2.png usr/share/tuxguitar/share/skins/Lavender/transport_icon_pause.png usr/share/tuxguitar/share/skins/Lavender/transport_icon_play_1.png usr/share/tuxguitar/share/skins/Lavender/transport_icon_play_2.png usr/share/tuxguitar/share/skins/Lavender/transport_icon_previous_1.png usr/share/tuxguitar/share/skins/Lavender/transport_icon_previous_2.png usr/share/tuxguitar/share/skins/Lavender/transport_icon_stop_1.png usr/share/tuxguitar/share/skins/Lavender/transport_icon_stop_2.png usr/share/tuxguitar/share/skins/Lavender/transport_last_1.png usr/share/tuxguitar/share/skins/Lavender/transport_last_2.png usr/share/tuxguitar/share/skins/Lavender/transport_metronome.png usr/share/tuxguitar/share/skins/Lavender/transport_mode.png usr/share/tuxguitar/share/skins/Lavender/transport_next_1.png usr/share/tuxguitar/share/skins/Lavender/transport_next_2.png usr/share/tuxguitar/share/skins/Lavender/transport_pause.png usr/share/tuxguitar/share/skins/Lavender/transport_play_1.png usr/share/tuxguitar/share/skins/Lavender/transport_play_2.png usr/share/tuxguitar/share/skins/Lavender/transport_previous_1.png usr/share/tuxguitar/share/skins/Lavender/transport_previous_2.png usr/share/tuxguitar/share/skins/Lavender/transport_stop_1.png usr/share/tuxguitar/share/skins/Lavender/transport_stop_2.png usr/share/tuxguitar/share/skins/Lavender/transport.png usr/share/tuxguitar/share/skins/Oxygen-dark/ usr/share/tuxguitar/share/skins/Oxygen-dark/1.png usr/share/tuxguitar/share/skins/Oxygen-dark/16.png usr/share/tuxguitar/share/skins/Oxygen-dark/2.png usr/share/tuxguitar/share/skins/Oxygen-dark/32.png usr/share/tuxguitar/share/skins/Oxygen-dark/4.png usr/share/tuxguitar/share/skins/Oxygen-dark/64.png usr/share/tuxguitar/share/skins/Oxygen-dark/8.png usr/share/tuxguitar/share/skins/Oxygen-dark/about_authors.png usr/share/tuxguitar/share/skins/Oxygen-dark/about_description.png usr/share/tuxguitar/share/skins/Oxygen-dark/about_license.png usr/share/tuxguitar/share/skins/Oxygen-dark/arrow_down.png usr/share/tuxguitar/share/skins/Oxygen-dark/arrow_left.png usr/share/tuxguitar/share/skins/Oxygen-dark/arrow_right.png usr/share/tuxguitar/share/skins/Oxygen-dark/arrow_up.png usr/share/tuxguitar/share/skins/Oxygen-dark/browser_back.png usr/share/tuxguitar/share/skins/Oxygen-dark/browser_file.png usr/share/tuxguitar/share/skins/Oxygen-dark/browser_folder.png usr/share/tuxguitar/share/skins/Oxygen-dark/browser_new.png usr/share/tuxguitar/share/skins/Oxygen-dark/browser_refresh.png usr/share/tuxguitar/share/skins/Oxygen-dark/browser_root.png usr/share/tuxguitar/share/skins/Oxygen-dark/chord.png usr/share/tuxguitar/share/skins/Oxygen-dark/closerepeat.png usr/share/tuxguitar/share/skins/Oxygen-dark/division-type.png usr/share/tuxguitar/share/skins/Oxygen-dark/dotted.png usr/share/tuxguitar/share/skins/Oxygen-dark/doubledotted.png usr/share/tuxguitar/share/skins/Oxygen-dark/dynamic_f.png usr/share/tuxguitar/share/skins/Oxygen-dark/dynamic_ff.png usr/share/tuxguitar/share/skins/Oxygen-dark/dynamic_fff.png usr/share/tuxguitar/share/skins/Oxygen-dark/dynamic_mf.png usr/share/tuxguitar/share/skins/Oxygen-dark/dynamic_mp.png usr/share/tuxguitar/share/skins/Oxygen-dark/dynamic_p.png usr/share/tuxguitar/share/skins/Oxygen-dark/dynamic_pp.png usr/share/tuxguitar/share/skins/Oxygen-dark/dynamic_ppp.png usr/share/tuxguitar/share/skins/Oxygen-dark/edit_mode_edition_no_natural.png usr/share/tuxguitar/share/skins/Oxygen-dark/edit_mode_edition.png usr/share/tuxguitar/share/skins/Oxygen-dark/edit_mode_selection.png usr/share/tuxguitar/share/skins/Oxygen-dark/edit_redo.png usr/share/tuxguitar/share/skins/Oxygen-dark/edit_undo.png usr/share/tuxguitar/share/skins/Oxygen-dark/edit_voice_1.png usr/share/tuxguitar/share/skins/Oxygen-dark/edit_voice_2.png usr/share/tuxguitar/share/skins/Oxygen-dark/effect_accentuated.png usr/share/tuxguitar/share/skins/Oxygen-dark/effect_bend.png usr/share/tuxguitar/share/skins/Oxygen-dark/effect_dead.png usr/share/tuxguitar/share/skins/Oxygen-dark/effect_fade_in.png usr/share/tuxguitar/share/skins/Oxygen-dark/effect_ghost.png usr/share/tuxguitar/share/skins/Oxygen-dark/effect_grace.png usr/share/tuxguitar/share/skins/Oxygen-dark/effect_hammer.png usr/share/tuxguitar/share/skins/Oxygen-dark/effect_harmonic.png usr/share/tuxguitar/share/skins/Oxygen-dark/effect_heavy_accentuated.png usr/share/tuxguitar/share/skins/Oxygen-dark/effect_palm_mute.png usr/share/tuxguitar/share/skins/Oxygen-dark/effect_popping.png usr/share/tuxguitar/share/skins/Oxygen-dark/effect_slapping.png usr/share/tuxguitar/share/skins/Oxygen-dark/effect_slide.png usr/share/tuxguitar/share/skins/Oxygen-dark/effect_staccato.png usr/share/tuxguitar/share/skins/Oxygen-dark/effect_tapping.png usr/share/tuxguitar/share/skins/Oxygen-dark/effect_tremolo_bar.png usr/share/tuxguitar/share/skins/Oxygen-dark/effect_tremolo_picking.png usr/share/tuxguitar/share/skins/Oxygen-dark/effect_trill.png usr/share/tuxguitar/share/skins/Oxygen-dark/effect_vibrato.png usr/share/tuxguitar/share/skins/Oxygen-dark/firstfret.png usr/share/tuxguitar/share/skins/Oxygen-dark/fret.png usr/share/tuxguitar/share/skins/Oxygen-dark/fretboard.png usr/share/tuxguitar/share/skins/Oxygen-dark/grace.png usr/share/tuxguitar/share/skins/Oxygen-dark/icon-16x16.png usr/share/tuxguitar/share/skins/Oxygen-dark/icon-24x24.png usr/share/tuxguitar/share/skins/Oxygen-dark/icon-32x32.png usr/share/tuxguitar/share/skins/Oxygen-dark/icon-48x48.png usr/share/tuxguitar/share/skins/Oxygen-dark/icon-64x64.png usr/share/tuxguitar/share/skins/Oxygen-dark/icon-96x96.png usr/share/tuxguitar/share/skins/Oxygen-dark/icon.icns usr/share/tuxguitar/share/skins/Oxygen-dark/icon.ico usr/share/tuxguitar/share/skins/Oxygen-dark/icon.png usr/share/tuxguitar/share/skins/Oxygen-dark/layout_compact.png usr/share/tuxguitar/share/skins/Oxygen-dark/layout_linear.png usr/share/tuxguitar/share/skins/Oxygen-dark/layout_multitrack.png usr/share/tuxguitar/share/skins/Oxygen-dark/layout_page.png usr/share/tuxguitar/share/skins/Oxygen-dark/layout_score.png usr/share/tuxguitar/share/skins/Oxygen-dark/list_add.png usr/share/tuxguitar/share/skins/Oxygen-dark/list_edit.png usr/share/tuxguitar/share/skins/Oxygen-dark/list_move_down.png usr/share/tuxguitar/share/skins/Oxygen-dark/list_move_up.png usr/share/tuxguitar/share/skins/Oxygen-dark/list_remove.png usr/share/tuxguitar/share/skins/Oxygen-dark/logo.png usr/share/tuxguitar/share/skins/Oxygen-dark/marker_add.png usr/share/tuxguitar/share/skins/Oxygen-dark/marker_first.png usr/share/tuxguitar/share/skins/Oxygen-dark/marker_last.png usr/share/tuxguitar/share/skins/Oxygen-dark/marker_list.png usr/share/tuxguitar/share/skins/Oxygen-dark/marker_next.png usr/share/tuxguitar/share/skins/Oxygen-dark/marker_previous.png usr/share/tuxguitar/share/skins/Oxygen-dark/marker_remove.png usr/share/tuxguitar/share/skins/Oxygen-dark/mixer.png usr/share/tuxguitar/share/skins/Oxygen-dark/new.png usr/share/tuxguitar/share/skins/Oxygen-dark/open.png usr/share/tuxguitar/share/skins/Oxygen-dark/openrepeat.png usr/share/tuxguitar/share/skins/Oxygen-dark/option_language.png usr/share/tuxguitar/share/skins/Oxygen-dark/option_skin.png usr/share/tuxguitar/share/skins/Oxygen-dark/option_sound.png usr/share/tuxguitar/share/skins/Oxygen-dark/option_style.png usr/share/tuxguitar/share/skins/Oxygen-dark/option_toolbars.png usr/share/tuxguitar/share/skins/Oxygen-dark/option_view.png usr/share/tuxguitar/share/skins/Oxygen-dark/print-preview.png usr/share/tuxguitar/share/skins/Oxygen-dark/print.png usr/share/tuxguitar/share/skins/Oxygen-dark/README usr/share/tuxguitar/share/skins/Oxygen-dark/repeat_alternative.png usr/share/tuxguitar/share/skins/Oxygen-dark/save-as.png usr/share/tuxguitar/share/skins/Oxygen-dark/save.png usr/share/tuxguitar/share/skins/Oxygen-dark/settings.png usr/share/tuxguitar/share/skins/Oxygen-dark/skin-preview.png usr/share/tuxguitar/share/skins/Oxygen-dark/skin.info usr/share/tuxguitar/share/skins/Oxygen-dark/skin.prop usr/share/tuxguitar/share/skins/Oxygen-dark/song_properties.png usr/share/tuxguitar/share/skins/Oxygen-dark/splash.png usr/share/tuxguitar/share/skins/Oxygen-dark/status_error.png usr/share/tuxguitar/share/skins/Oxygen-dark/status_info.png usr/share/tuxguitar/share/skins/Oxygen-dark/status_question.png usr/share/tuxguitar/share/skins/Oxygen-dark/status_warning.png usr/share/tuxguitar/share/skins/Oxygen-dark/stroke_down.png usr/share/tuxguitar/share/skins/Oxygen-dark/stroke_up.png usr/share/tuxguitar/share/skins/Oxygen-dark/tempo.png usr/share/tuxguitar/share/skins/Oxygen-dark/tempoicon.png usr/share/tuxguitar/share/skins/Oxygen-dark/text.png usr/share/tuxguitar/share/skins/Oxygen-dark/tgdoc.icns usr/share/tuxguitar/share/skins/Oxygen-dark/tiednote.png usr/share/tuxguitar/share/skins/Oxygen-dark/timesignature.png usr/share/tuxguitar/share/skins/Oxygen-dark/toolbar_edit.png usr/share/tuxguitar/share/skins/Oxygen-dark/track_add.png usr/share/tuxguitar/share/skins/Oxygen-dark/track_remove.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_first_1.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_first_2.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_icon_first_1.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_icon_first_2.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_icon_last_1.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_icon_last_2.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_icon_next_1.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_icon_next_2.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_icon_pause.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_icon_play_1.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_icon_play_2.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_icon_previous_1.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_icon_previous_2.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_icon_stop_1.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_icon_stop_2.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_last_1.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_last_2.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_metronome.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_mode.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_next_1.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_next_2.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_pause.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_play_1.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_play_2.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_previous_1.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_previous_2.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_stop_1.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport_stop_2.png usr/share/tuxguitar/share/skins/Oxygen-dark/transport.png usr/share/tuxguitar/share/skins/Oxygen/ usr/share/tuxguitar/share/skins/Oxygen/1.png usr/share/tuxguitar/share/skins/Oxygen/16.png usr/share/tuxguitar/share/skins/Oxygen/2.png usr/share/tuxguitar/share/skins/Oxygen/32.png usr/share/tuxguitar/share/skins/Oxygen/4.png usr/share/tuxguitar/share/skins/Oxygen/64.png usr/share/tuxguitar/share/skins/Oxygen/8.png usr/share/tuxguitar/share/skins/Oxygen/about_authors.png usr/share/tuxguitar/share/skins/Oxygen/about_description.png usr/share/tuxguitar/share/skins/Oxygen/about_license.png usr/share/tuxguitar/share/skins/Oxygen/arrow_down.png usr/share/tuxguitar/share/skins/Oxygen/arrow_left.png usr/share/tuxguitar/share/skins/Oxygen/arrow_right.png usr/share/tuxguitar/share/skins/Oxygen/arrow_up.png usr/share/tuxguitar/share/skins/Oxygen/browser_back.png usr/share/tuxguitar/share/skins/Oxygen/browser_file.png usr/share/tuxguitar/share/skins/Oxygen/browser_folder.png usr/share/tuxguitar/share/skins/Oxygen/browser_new.png usr/share/tuxguitar/share/skins/Oxygen/browser_refresh.png usr/share/tuxguitar/share/skins/Oxygen/browser_root.png usr/share/tuxguitar/share/skins/Oxygen/chord.png usr/share/tuxguitar/share/skins/Oxygen/closerepeat.png usr/share/tuxguitar/share/skins/Oxygen/division-type.png usr/share/tuxguitar/share/skins/Oxygen/dotted.png usr/share/tuxguitar/share/skins/Oxygen/doubledotted.png usr/share/tuxguitar/share/skins/Oxygen/dynamic_f.png usr/share/tuxguitar/share/skins/Oxygen/dynamic_ff.png usr/share/tuxguitar/share/skins/Oxygen/dynamic_fff.png usr/share/tuxguitar/share/skins/Oxygen/dynamic_mf.png usr/share/tuxguitar/share/skins/Oxygen/dynamic_mp.png usr/share/tuxguitar/share/skins/Oxygen/dynamic_p.png usr/share/tuxguitar/share/skins/Oxygen/dynamic_pp.png usr/share/tuxguitar/share/skins/Oxygen/dynamic_ppp.png usr/share/tuxguitar/share/skins/Oxygen/edit_mode_edition_no_natural.png usr/share/tuxguitar/share/skins/Oxygen/edit_mode_edition.png usr/share/tuxguitar/share/skins/Oxygen/edit_mode_selection.png usr/share/tuxguitar/share/skins/Oxygen/edit_redo.png usr/share/tuxguitar/share/skins/Oxygen/edit_undo.png usr/share/tuxguitar/share/skins/Oxygen/edit_voice_1.png usr/share/tuxguitar/share/skins/Oxygen/edit_voice_2.png usr/share/tuxguitar/share/skins/Oxygen/effect_accentuated.png usr/share/tuxguitar/share/skins/Oxygen/effect_bend.png usr/share/tuxguitar/share/skins/Oxygen/effect_dead.png usr/share/tuxguitar/share/skins/Oxygen/effect_fade_in.png usr/share/tuxguitar/share/skins/Oxygen/effect_ghost.png usr/share/tuxguitar/share/skins/Oxygen/effect_grace.png usr/share/tuxguitar/share/skins/Oxygen/effect_hammer.png usr/share/tuxguitar/share/skins/Oxygen/effect_harmonic.png usr/share/tuxguitar/share/skins/Oxygen/effect_heavy_accentuated.png usr/share/tuxguitar/share/skins/Oxygen/effect_palm_mute.png usr/share/tuxguitar/share/skins/Oxygen/effect_popping.png usr/share/tuxguitar/share/skins/Oxygen/effect_slapping.png usr/share/tuxguitar/share/skins/Oxygen/effect_slide.png usr/share/tuxguitar/share/skins/Oxygen/effect_staccato.png usr/share/tuxguitar/share/skins/Oxygen/effect_tapping.png usr/share/tuxguitar/share/skins/Oxygen/effect_tremolo_bar.png usr/share/tuxguitar/share/skins/Oxygen/effect_tremolo_picking.png usr/share/tuxguitar/share/skins/Oxygen/effect_trill.png usr/share/tuxguitar/share/skins/Oxygen/effect_vibrato.png usr/share/tuxguitar/share/skins/Oxygen/firstfret.png usr/share/tuxguitar/share/skins/Oxygen/fret.png usr/share/tuxguitar/share/skins/Oxygen/fretboard.png usr/share/tuxguitar/share/skins/Oxygen/grace.png usr/share/tuxguitar/share/skins/Oxygen/icon-16x16.png usr/share/tuxguitar/share/skins/Oxygen/icon-24x24.png usr/share/tuxguitar/share/skins/Oxygen/icon-32x32.png usr/share/tuxguitar/share/skins/Oxygen/icon-48x48.png usr/share/tuxguitar/share/skins/Oxygen/icon-64x64.png usr/share/tuxguitar/share/skins/Oxygen/icon-96x96.png usr/share/tuxguitar/share/skins/Oxygen/icon.icns usr/share/tuxguitar/share/skins/Oxygen/icon.ico usr/share/tuxguitar/share/skins/Oxygen/icon.png usr/share/tuxguitar/share/skins/Oxygen/layout_compact.png usr/share/tuxguitar/share/skins/Oxygen/layout_linear.png usr/share/tuxguitar/share/skins/Oxygen/layout_multitrack.png usr/share/tuxguitar/share/skins/Oxygen/layout_page.png usr/share/tuxguitar/share/skins/Oxygen/layout_score.png usr/share/tuxguitar/share/skins/Oxygen/list_add.png usr/share/tuxguitar/share/skins/Oxygen/list_edit.png usr/share/tuxguitar/share/skins/Oxygen/list_move_down.png usr/share/tuxguitar/share/skins/Oxygen/list_move_up.png usr/share/tuxguitar/share/skins/Oxygen/list_remove.png usr/share/tuxguitar/share/skins/Oxygen/logo.png usr/share/tuxguitar/share/skins/Oxygen/marker_add.png usr/share/tuxguitar/share/skins/Oxygen/marker_first.png usr/share/tuxguitar/share/skins/Oxygen/marker_last.png usr/share/tuxguitar/share/skins/Oxygen/marker_list.png usr/share/tuxguitar/share/skins/Oxygen/marker_next.png usr/share/tuxguitar/share/skins/Oxygen/marker_previous.png usr/share/tuxguitar/share/skins/Oxygen/marker_remove.png usr/share/tuxguitar/share/skins/Oxygen/mixer.png usr/share/tuxguitar/share/skins/Oxygen/new.png usr/share/tuxguitar/share/skins/Oxygen/open.png usr/share/tuxguitar/share/skins/Oxygen/openrepeat.png usr/share/tuxguitar/share/skins/Oxygen/option_language.png usr/share/tuxguitar/share/skins/Oxygen/option_skin.png usr/share/tuxguitar/share/skins/Oxygen/option_sound.png usr/share/tuxguitar/share/skins/Oxygen/option_style.png usr/share/tuxguitar/share/skins/Oxygen/option_toolbars.png usr/share/tuxguitar/share/skins/Oxygen/option_view.png usr/share/tuxguitar/share/skins/Oxygen/print-preview.png usr/share/tuxguitar/share/skins/Oxygen/print.png usr/share/tuxguitar/share/skins/Oxygen/README usr/share/tuxguitar/share/skins/Oxygen/repeat_alternative.png usr/share/tuxguitar/share/skins/Oxygen/save-as.png usr/share/tuxguitar/share/skins/Oxygen/save.png usr/share/tuxguitar/share/skins/Oxygen/settings.png usr/share/tuxguitar/share/skins/Oxygen/skin-preview.png usr/share/tuxguitar/share/skins/Oxygen/skin.info usr/share/tuxguitar/share/skins/Oxygen/skin.prop usr/share/tuxguitar/share/skins/Oxygen/song_properties.png usr/share/tuxguitar/share/skins/Oxygen/splash.png usr/share/tuxguitar/share/skins/Oxygen/status_error.png usr/share/tuxguitar/share/skins/Oxygen/status_info.png usr/share/tuxguitar/share/skins/Oxygen/status_question.png usr/share/tuxguitar/share/skins/Oxygen/status_warning.png usr/share/tuxguitar/share/skins/Oxygen/stroke_down.png usr/share/tuxguitar/share/skins/Oxygen/stroke_up.png usr/share/tuxguitar/share/skins/Oxygen/tempo.png usr/share/tuxguitar/share/skins/Oxygen/tempoicon.png usr/share/tuxguitar/share/skins/Oxygen/text.png usr/share/tuxguitar/share/skins/Oxygen/tgdoc.icns usr/share/tuxguitar/share/skins/Oxygen/tiednote.png usr/share/tuxguitar/share/skins/Oxygen/timesignature.png usr/share/tuxguitar/share/skins/Oxygen/toolbar_edit.png usr/share/tuxguitar/share/skins/Oxygen/track_add.png usr/share/tuxguitar/share/skins/Oxygen/track_remove.png usr/share/tuxguitar/share/skins/Oxygen/transport_first_1.png usr/share/tuxguitar/share/skins/Oxygen/transport_first_2.png usr/share/tuxguitar/share/skins/Oxygen/transport_icon_first_1.png usr/share/tuxguitar/share/skins/Oxygen/transport_icon_first_2.png usr/share/tuxguitar/share/skins/Oxygen/transport_icon_last_1.png usr/share/tuxguitar/share/skins/Oxygen/transport_icon_last_2.png usr/share/tuxguitar/share/skins/Oxygen/transport_icon_next_1.png usr/share/tuxguitar/share/skins/Oxygen/transport_icon_next_2.png usr/share/tuxguitar/share/skins/Oxygen/transport_icon_pause.png usr/share/tuxguitar/share/skins/Oxygen/transport_icon_play_1.png usr/share/tuxguitar/share/skins/Oxygen/transport_icon_play_2.png usr/share/tuxguitar/share/skins/Oxygen/transport_icon_previous_1.png usr/share/tuxguitar/share/skins/Oxygen/transport_icon_previous_2.png usr/share/tuxguitar/share/skins/Oxygen/transport_icon_stop_1.png usr/share/tuxguitar/share/skins/Oxygen/transport_icon_stop_2.png usr/share/tuxguitar/share/skins/Oxygen/transport_last_1.png usr/share/tuxguitar/share/skins/Oxygen/transport_last_2.png usr/share/tuxguitar/share/skins/Oxygen/transport_metronome.png usr/share/tuxguitar/share/skins/Oxygen/transport_mode.png usr/share/tuxguitar/share/skins/Oxygen/transport_next_1.png usr/share/tuxguitar/share/skins/Oxygen/transport_next_2.png usr/share/tuxguitar/share/skins/Oxygen/transport_pause.png usr/share/tuxguitar/share/skins/Oxygen/transport_play_1.png usr/share/tuxguitar/share/skins/Oxygen/transport_play_2.png usr/share/tuxguitar/share/skins/Oxygen/transport_previous_1.png usr/share/tuxguitar/share/skins/Oxygen/transport_previous_2.png usr/share/tuxguitar/share/skins/Oxygen/transport_stop_1.png usr/share/tuxguitar/share/skins/Oxygen/transport_stop_2.png usr/share/tuxguitar/share/skins/Oxygen/transport.png usr/share/tuxguitar/share/templates/ usr/share/tuxguitar/share/templates/template-1.tg usr/share/tuxguitar/share/templates/template-2.tg usr/share/tuxguitar/share/templates/template-default.tg usr/share/tuxguitar/share/templates/templates.xml
aarch64uhhyou-plugins0.63.0-1A collection of synthesizer and effect VST3 plugins
Close

uhhyou-plugins 0.63.0-1


Architecture:aarch64
Base Package:uhhyou-plugins (PKGBUILD)
Description:A collection of synthesizer and effect VST3 plugins
Upstream URL:https://ryukau.github.io/VSTPlugins/
Groups:pro-audio
vst3-plugins
Download Size:12.3 MB (Download)
Installed Size:95.3 MB
License:GPL-3.0-only
Build Date:2024-10-12 01:34 UTC
Dependencies:cairo
gcc-libs
glibc
libfontconfig.so=1-64
libgobject-2.0.so=0-64
libpango-1.0.so=0-64
libpangocairo-1.0.so=0-64
libpangoft2-1.0.so=0-64
libxcb
libxkbcommon-x11.so=0-64
libxkbcommon.so=0-64
ttf-croscore
xcb-util
xcb-util-cursor
vst3-host: for running VST3 plugins (optional)
cmake (make)
fontconfig (make)
git (make)
gtkmm3 (make)
libx11 (make)
libxkbcommon-x11 (make)
pango (make)
vst3sdk (make)
xcb-util-keysyms (make)
Package Contents
usr/ usr/lib/ usr/lib/vst3/ usr/lib/vst3/AccumulativeRingMod.vst3/ usr/lib/vst3/AccumulativeRingMod.vst3/Contents/ usr/lib/vst3/AccumulativeRingMod.vst3/Contents/aarch64-linux/ usr/lib/vst3/AccumulativeRingMod.vst3/Contents/aarch64-linux/AccumulativeRingMod.so usr/lib/vst3/AccumulativeRingMod.vst3/Contents/Resources/ usr/lib/vst3/AccumulativeRingMod.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/AccumulativeRingMod.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/AccumulativeRingMod.vst3/Contents/Resources/Snapshots/3DC7F14DE69E479C9BF0970D84AB5A64_snapshot.png usr/lib/vst3/BasicLimiter.vst3/ usr/lib/vst3/BasicLimiter.vst3/Contents/ usr/lib/vst3/BasicLimiter.vst3/Contents/aarch64-linux/ usr/lib/vst3/BasicLimiter.vst3/Contents/aarch64-linux/BasicLimiter.so usr/lib/vst3/BasicLimiter.vst3/Contents/Resources/ usr/lib/vst3/BasicLimiter.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/BasicLimiter.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/BasicLimiter.vst3/Contents/Resources/Snapshots/95E38C055A274CE993E19AAA5AFF95F5_snapshot.png usr/lib/vst3/BasicLimiterAutoMake.vst3/ usr/lib/vst3/BasicLimiterAutoMake.vst3/Contents/ usr/lib/vst3/BasicLimiterAutoMake.vst3/Contents/aarch64-linux/ usr/lib/vst3/BasicLimiterAutoMake.vst3/Contents/aarch64-linux/BasicLimiterAutoMake.so usr/lib/vst3/BasicLimiterAutoMake.vst3/Contents/Resources/ usr/lib/vst3/BasicLimiterAutoMake.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/BasicLimiterAutoMake.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/BasicLimiterAutoMake.vst3/Contents/Resources/Snapshots/AB6D22F67C2A4ADABCFDAF958D3CFA57_snapshot.png usr/lib/vst3/ClangCymbal.vst3/ usr/lib/vst3/ClangCymbal.vst3/Contents/ usr/lib/vst3/ClangCymbal.vst3/Contents/aarch64-linux/ usr/lib/vst3/ClangCymbal.vst3/Contents/aarch64-linux/ClangCymbal.so usr/lib/vst3/ClangCymbal.vst3/Contents/Resources/ usr/lib/vst3/ClangCymbal.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/ClangCymbal.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/ClangCymbal.vst3/Contents/Resources/Snapshots/F99D3FCFF4E74CC4AD4B756B082C81A2_snapshot.png usr/lib/vst3/ClangSynth.vst3/ usr/lib/vst3/ClangSynth.vst3/Contents/ usr/lib/vst3/ClangSynth.vst3/Contents/aarch64-linux/ usr/lib/vst3/ClangSynth.vst3/Contents/aarch64-linux/ClangSynth.so usr/lib/vst3/ClangSynth.vst3/Contents/Resources/ usr/lib/vst3/ClangSynth.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/ClangSynth.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/ClangSynth.vst3/Contents/Resources/Snapshots/31D71DE1C3754F49BAC9E1EE064D3A8C_snapshot.png usr/lib/vst3/CollidingCombSynth.vst3/ usr/lib/vst3/CollidingCombSynth.vst3/Contents/ usr/lib/vst3/CollidingCombSynth.vst3/Contents/aarch64-linux/ usr/lib/vst3/CollidingCombSynth.vst3/Contents/aarch64-linux/CollidingCombSynth.so usr/lib/vst3/CollidingCombSynth.vst3/Contents/Resources/ usr/lib/vst3/CollidingCombSynth.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/CollidingCombSynth.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/CollidingCombSynth.vst3/Contents/Resources/Snapshots/10435C85B1B54FD9ACE981C7E46B02FF_snapshot.png usr/lib/vst3/CombDistortion.vst3/ usr/lib/vst3/CombDistortion.vst3/Contents/ usr/lib/vst3/CombDistortion.vst3/Contents/aarch64-linux/ usr/lib/vst3/CombDistortion.vst3/Contents/aarch64-linux/CombDistortion.so usr/lib/vst3/CombDistortion.vst3/Contents/Resources/ usr/lib/vst3/CombDistortion.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/CombDistortion.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/CombDistortion.vst3/Contents/Resources/Snapshots/A7607B7290954E38948B9E3D1166F37D_snapshot.png usr/lib/vst3/CubicPadSynth.vst3/ usr/lib/vst3/CubicPadSynth.vst3/Contents/ usr/lib/vst3/CubicPadSynth.vst3/Contents/aarch64-linux/ usr/lib/vst3/CubicPadSynth.vst3/Contents/aarch64-linux/CubicPadSynth.so usr/lib/vst3/CubicPadSynth.vst3/Contents/Resources/ usr/lib/vst3/CubicPadSynth.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/CubicPadSynth.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/CubicPadSynth.vst3/Contents/Resources/Snapshots/461BCB96D5AC4E5495E341686C5E952A_snapshot.png usr/lib/vst3/DoubleLoopCymbal.vst3/ usr/lib/vst3/DoubleLoopCymbal.vst3/Contents/ usr/lib/vst3/DoubleLoopCymbal.vst3/Contents/aarch64-linux/ usr/lib/vst3/DoubleLoopCymbal.vst3/Contents/aarch64-linux/DoubleLoopCymbal.so usr/lib/vst3/DoubleLoopCymbal.vst3/Contents/Resources/ usr/lib/vst3/DoubleLoopCymbal.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/DoubleLoopCymbal.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/DoubleLoopCymbal.vst3/Contents/Resources/Snapshots/58A4DB52D9B74A1285AF5C8DAB9F9423_snapshot.png usr/lib/vst3/EnvelopedSine.vst3/ usr/lib/vst3/EnvelopedSine.vst3/Contents/ usr/lib/vst3/EnvelopedSine.vst3/Contents/aarch64-linux/ usr/lib/vst3/EnvelopedSine.vst3/Contents/aarch64-linux/EnvelopedSine.so usr/lib/vst3/EnvelopedSine.vst3/Contents/Resources/ usr/lib/vst3/EnvelopedSine.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/EnvelopedSine.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/EnvelopedSine.vst3/Contents/Resources/Snapshots/48168B5EC1334AE88E05BFC822474464_snapshot.png usr/lib/vst3/EsPhaser.vst3/ usr/lib/vst3/EsPhaser.vst3/Contents/ usr/lib/vst3/EsPhaser.vst3/Contents/aarch64-linux/ usr/lib/vst3/EsPhaser.vst3/Contents/aarch64-linux/EsPhaser.so usr/lib/vst3/EsPhaser.vst3/Contents/Resources/ usr/lib/vst3/EsPhaser.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/EsPhaser.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/EsPhaser.vst3/Contents/Resources/Snapshots/77E2CA3A7DAE459493555B97B33EBAEA_snapshot.png usr/lib/vst3/FDN64Reverb.vst3/ usr/lib/vst3/FDN64Reverb.vst3/Contents/ usr/lib/vst3/FDN64Reverb.vst3/Contents/aarch64-linux/ usr/lib/vst3/FDN64Reverb.vst3/Contents/aarch64-linux/FDN64Reverb.so usr/lib/vst3/FDN64Reverb.vst3/Contents/Resources/ usr/lib/vst3/FDN64Reverb.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/FDN64Reverb.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/FDN64Reverb.vst3/Contents/Resources/Snapshots/C880D61A8F9A443D9612BA79E69A87C3_snapshot.png usr/lib/vst3/FDNCymbal.vst3/ usr/lib/vst3/FDNCymbal.vst3/Contents/ usr/lib/vst3/FDNCymbal.vst3/Contents/aarch64-linux/ usr/lib/vst3/FDNCymbal.vst3/Contents/aarch64-linux/FDNCymbal.so usr/lib/vst3/FDNCymbal.vst3/Contents/Resources/ usr/lib/vst3/FDNCymbal.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/FDNCymbal.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/FDNCymbal.vst3/Contents/Resources/Snapshots/1F217F2CE23F4BF4A2716CAE41B5AE4D_snapshot.png usr/lib/vst3/FeedbackPhaser.vst3/ usr/lib/vst3/FeedbackPhaser.vst3/Contents/ usr/lib/vst3/FeedbackPhaser.vst3/Contents/aarch64-linux/ usr/lib/vst3/FeedbackPhaser.vst3/Contents/aarch64-linux/FeedbackPhaser.so usr/lib/vst3/FeedbackPhaser.vst3/Contents/Resources/ usr/lib/vst3/FeedbackPhaser.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/FeedbackPhaser.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/FeedbackPhaser.vst3/Contents/Resources/Snapshots/4D7FB2ABCB564068ACF603D40A2A08B6_snapshot.png usr/lib/vst3/FoldShaper.vst3/ usr/lib/vst3/FoldShaper.vst3/Contents/ usr/lib/vst3/FoldShaper.vst3/Contents/aarch64-linux/ usr/lib/vst3/FoldShaper.vst3/Contents/aarch64-linux/FoldShaper.so usr/lib/vst3/FoldShaper.vst3/Contents/Resources/ usr/lib/vst3/FoldShaper.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/GenericDrum.vst3/ usr/lib/vst3/GenericDrum.vst3/Contents/ usr/lib/vst3/GenericDrum.vst3/Contents/aarch64-linux/ usr/lib/vst3/GenericDrum.vst3/Contents/aarch64-linux/GenericDrum.so usr/lib/vst3/GenericDrum.vst3/Contents/Resources/ usr/lib/vst3/GenericDrum.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/GenericDrum.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/GenericDrum.vst3/Contents/Resources/Snapshots/97B971DA2A0E4E0B9B3F1278DC9BFB60_snapshot.png usr/lib/vst3/GlitchSprinkler.vst3/ usr/lib/vst3/GlitchSprinkler.vst3/Contents/ usr/lib/vst3/GlitchSprinkler.vst3/Contents/aarch64-linux/ usr/lib/vst3/GlitchSprinkler.vst3/Contents/aarch64-linux/GlitchSprinkler.so usr/lib/vst3/GlitchSprinkler.vst3/Contents/Resources/ usr/lib/vst3/GlitchSprinkler.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/GlitchSprinkler.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/GlitchSprinkler.vst3/Contents/Resources/Snapshots/53ED357F43D84B9A921DBD16AA763670_snapshot.png usr/lib/vst3/IterativeSinCluster.vst3/ usr/lib/vst3/IterativeSinCluster.vst3/Contents/ usr/lib/vst3/IterativeSinCluster.vst3/Contents/aarch64-linux/ usr/lib/vst3/IterativeSinCluster.vst3/Contents/aarch64-linux/IterativeSinCluster.so usr/lib/vst3/IterativeSinCluster.vst3/Contents/Resources/ usr/lib/vst3/IterativeSinCluster.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/IterativeSinCluster.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/IterativeSinCluster.vst3/Contents/Resources/Snapshots/17E56F33963943F6AB265180357446E6_snapshot.png usr/lib/vst3/L3Reverb.vst3/ usr/lib/vst3/L3Reverb.vst3/Contents/ usr/lib/vst3/L3Reverb.vst3/Contents/aarch64-linux/ usr/lib/vst3/L3Reverb.vst3/Contents/aarch64-linux/L3Reverb.so usr/lib/vst3/L3Reverb.vst3/Contents/Resources/ usr/lib/vst3/L3Reverb.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/L3Reverb.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/L3Reverb.vst3/Contents/Resources/Snapshots/FADE6289F4AC4CF79CDB02E8960CEA79_snapshot.png usr/lib/vst3/L4Reverb.vst3/ usr/lib/vst3/L4Reverb.vst3/Contents/ usr/lib/vst3/L4Reverb.vst3/Contents/aarch64-linux/ usr/lib/vst3/L4Reverb.vst3/Contents/aarch64-linux/L4Reverb.so usr/lib/vst3/L4Reverb.vst3/Contents/Resources/ usr/lib/vst3/L4Reverb.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/L4Reverb.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/L4Reverb.vst3/Contents/Resources/Snapshots/43676AEFBB814CD68B399AC3BF5DB320_snapshot.png usr/lib/vst3/LatticeReverb.vst3/ usr/lib/vst3/LatticeReverb.vst3/Contents/ usr/lib/vst3/LatticeReverb.vst3/Contents/aarch64-linux/ usr/lib/vst3/LatticeReverb.vst3/Contents/aarch64-linux/LatticeReverb.so usr/lib/vst3/LatticeReverb.vst3/Contents/Resources/ usr/lib/vst3/LatticeReverb.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/LatticeReverb.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/LatticeReverb.vst3/Contents/Resources/Snapshots/5B81B24DE43E478FAC8538C43D0550D2_snapshot.png usr/lib/vst3/LightPadSynth.vst3/ usr/lib/vst3/LightPadSynth.vst3/Contents/ usr/lib/vst3/LightPadSynth.vst3/Contents/aarch64-linux/ usr/lib/vst3/LightPadSynth.vst3/Contents/aarch64-linux/LightPadSynth.so usr/lib/vst3/LightPadSynth.vst3/Contents/Resources/ usr/lib/vst3/LightPadSynth.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/LightPadSynth.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/LightPadSynth.vst3/Contents/Resources/Snapshots/DBA003596849493B913464191F2E1909_snapshot.png usr/lib/vst3/LongPhaser.vst3/ usr/lib/vst3/LongPhaser.vst3/Contents/ usr/lib/vst3/LongPhaser.vst3/Contents/aarch64-linux/ usr/lib/vst3/LongPhaser.vst3/Contents/aarch64-linux/LongPhaser.so usr/lib/vst3/LongPhaser.vst3/Contents/Resources/ usr/lib/vst3/LongPhaser.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/LongPhaser.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/LongPhaser.vst3/Contents/Resources/Snapshots/699672A8A75841CB88537D6EC17B6931_snapshot.png usr/lib/vst3/MatrixShifter.vst3/ usr/lib/vst3/MatrixShifter.vst3/Contents/ usr/lib/vst3/MatrixShifter.vst3/Contents/aarch64-linux/ usr/lib/vst3/MatrixShifter.vst3/Contents/aarch64-linux/MatrixShifter.so usr/lib/vst3/MatrixShifter.vst3/Contents/Resources/ usr/lib/vst3/MatrixShifter.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/MatrixShifter.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/MatrixShifter.vst3/Contents/Resources/Snapshots/6B558433326940F1B7DA266E997B0A06_snapshot.png usr/lib/vst3/MaybeSnare.vst3/ usr/lib/vst3/MaybeSnare.vst3/Contents/ usr/lib/vst3/MaybeSnare.vst3/Contents/aarch64-linux/ usr/lib/vst3/MaybeSnare.vst3/Contents/aarch64-linux/MaybeSnare.so usr/lib/vst3/MaybeSnare.vst3/Contents/Resources/ usr/lib/vst3/MaybeSnare.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/MaybeSnare.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/MaybeSnare.vst3/Contents/Resources/Snapshots/8CEAB2D991E3419480C077FFD3840FC4_snapshot.png usr/lib/vst3/MembraneSynth.vst3/ usr/lib/vst3/MembraneSynth.vst3/Contents/ usr/lib/vst3/MembraneSynth.vst3/Contents/aarch64-linux/ usr/lib/vst3/MembraneSynth.vst3/Contents/aarch64-linux/MembraneSynth.so usr/lib/vst3/MembraneSynth.vst3/Contents/Resources/ usr/lib/vst3/MembraneSynth.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/MembraneSynth.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/MembraneSynth.vst3/Contents/Resources/Snapshots/6A672DC1E57A4D6FA81A49F09916B435_snapshot.png usr/lib/vst3/MiniCliffEQ.vst3/ usr/lib/vst3/MiniCliffEQ.vst3/Contents/ usr/lib/vst3/MiniCliffEQ.vst3/Contents/aarch64-linux/ usr/lib/vst3/MiniCliffEQ.vst3/Contents/aarch64-linux/MiniCliffEQ.so usr/lib/vst3/MiniCliffEQ.vst3/Contents/Resources/ usr/lib/vst3/MiniCliffEQ.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/MiniCliffEQ.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/MiniCliffEQ.vst3/Contents/Resources/Snapshots/6DC19649B15244BB8CCAD8CD71F629E9_snapshot.png usr/lib/vst3/ModuloShaper.vst3/ usr/lib/vst3/ModuloShaper.vst3/Contents/ usr/lib/vst3/ModuloShaper.vst3/Contents/aarch64-linux/ usr/lib/vst3/ModuloShaper.vst3/Contents/aarch64-linux/ModuloShaper.so usr/lib/vst3/ModuloShaper.vst3/Contents/Resources/ usr/lib/vst3/ModuloShaper.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/ModuloShaper.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/ModuloShaper.vst3/Contents/Resources/Snapshots/5ACBA767837B4C029DE8E89BD232C5B9_snapshot.png usr/lib/vst3/NarrowingDelay.vst3/ usr/lib/vst3/NarrowingDelay.vst3/Contents/ usr/lib/vst3/NarrowingDelay.vst3/Contents/aarch64-linux/ usr/lib/vst3/NarrowingDelay.vst3/Contents/aarch64-linux/NarrowingDelay.so usr/lib/vst3/NarrowingDelay.vst3/Contents/Resources/ usr/lib/vst3/NarrowingDelay.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/NarrowingDelay.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/NarrowingDelay.vst3/Contents/Resources/Snapshots/2F26E910CB5446A48EEC8E38AB36F890_snapshot.png usr/lib/vst3/OddPowShaper.vst3/ usr/lib/vst3/OddPowShaper.vst3/Contents/ usr/lib/vst3/OddPowShaper.vst3/Contents/aarch64-linux/ usr/lib/vst3/OddPowShaper.vst3/Contents/aarch64-linux/OddPowShaper.so usr/lib/vst3/OddPowShaper.vst3/Contents/Resources/ usr/lib/vst3/OddPowShaper.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/OddPowShaper.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/OddPowShaper.vst3/Contents/Resources/Snapshots/522FB4206F4A417AB6E874DE8ABA6DEE_snapshot.png usr/lib/vst3/OrdinaryPhaser.vst3/ usr/lib/vst3/OrdinaryPhaser.vst3/Contents/ usr/lib/vst3/OrdinaryPhaser.vst3/Contents/aarch64-linux/ usr/lib/vst3/OrdinaryPhaser.vst3/Contents/aarch64-linux/OrdinaryPhaser.so usr/lib/vst3/OrdinaryPhaser.vst3/Contents/Resources/ usr/lib/vst3/OrdinaryPhaser.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/OrdinaryPhaser.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/OrdinaryPhaser.vst3/Contents/Resources/Snapshots/B80CFC48698A4016852D7818310D7DA3_snapshot.png usr/lib/vst3/ParallelComb.vst3/ usr/lib/vst3/ParallelComb.vst3/Contents/ usr/lib/vst3/ParallelComb.vst3/Contents/aarch64-linux/ usr/lib/vst3/ParallelComb.vst3/Contents/aarch64-linux/ParallelComb.so usr/lib/vst3/ParallelComb.vst3/Contents/Resources/ usr/lib/vst3/ParallelComb.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/ParallelComb.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/ParallelComb.vst3/Contents/Resources/Snapshots/885129EAFB1F40189877B912E7F740AF_snapshot.png usr/lib/vst3/ParallelDetune.vst3/ usr/lib/vst3/ParallelDetune.vst3/Contents/ usr/lib/vst3/ParallelDetune.vst3/Contents/aarch64-linux/ usr/lib/vst3/ParallelDetune.vst3/Contents/aarch64-linux/ParallelDetune.so usr/lib/vst3/ParallelDetune.vst3/Contents/Resources/ usr/lib/vst3/ParallelDetune.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/ParallelDetune.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/ParallelDetune.vst3/Contents/Resources/Snapshots/42D5E208A916474AB45B302C62BC1650_snapshot.png usr/lib/vst3/PitchShiftDelay.vst3/ usr/lib/vst3/PitchShiftDelay.vst3/Contents/ usr/lib/vst3/PitchShiftDelay.vst3/Contents/aarch64-linux/ usr/lib/vst3/PitchShiftDelay.vst3/Contents/aarch64-linux/PitchShiftDelay.so usr/lib/vst3/PitchShiftDelay.vst3/Contents/Resources/ usr/lib/vst3/PitchShiftDelay.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/PitchShiftDelay.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/PitchShiftDelay.vst3/Contents/Resources/Snapshots/14087F0853BA4C4FA6C9391088BF809B_snapshot.png usr/lib/vst3/RingModSpacer.vst3/ usr/lib/vst3/RingModSpacer.vst3/Contents/ usr/lib/vst3/RingModSpacer.vst3/Contents/aarch64-linux/ usr/lib/vst3/RingModSpacer.vst3/Contents/aarch64-linux/RingModSpacer.so usr/lib/vst3/RingModSpacer.vst3/Contents/Resources/ usr/lib/vst3/RingModSpacer.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/RingModSpacer.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/RingModSpacer.vst3/Contents/Resources/Snapshots/48B91321E75349B58459491CBD923DB8_snapshot.png usr/lib/vst3/SevenDelay.vst3/ usr/lib/vst3/SevenDelay.vst3/Contents/ usr/lib/vst3/SevenDelay.vst3/Contents/aarch64-linux/ usr/lib/vst3/SevenDelay.vst3/Contents/aarch64-linux/SevenDelay.so usr/lib/vst3/SevenDelay.vst3/Contents/Resources/ usr/lib/vst3/SevenDelay.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/SevenDelay.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/SevenDelay.vst3/Contents/Resources/Snapshots/CD3D8D67AE6E4322B39DDDC0CA4C35A1_snapshot.png usr/lib/vst3/SoftClipper.vst3/ usr/lib/vst3/SoftClipper.vst3/Contents/ usr/lib/vst3/SoftClipper.vst3/Contents/aarch64-linux/ usr/lib/vst3/SoftClipper.vst3/Contents/aarch64-linux/SoftClipper.so usr/lib/vst3/SoftClipper.vst3/Contents/Resources/ usr/lib/vst3/SoftClipper.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/SoftClipper.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/SoftClipper.vst3/Contents/Resources/Snapshots/C9D814BCB61147C2BFD03A00636C90D7_snapshot.png usr/lib/vst3/SyncSawSynth.vst3/ usr/lib/vst3/SyncSawSynth.vst3/Contents/ usr/lib/vst3/SyncSawSynth.vst3/Contents/aarch64-linux/ usr/lib/vst3/SyncSawSynth.vst3/Contents/aarch64-linux/SyncSawSynth.so usr/lib/vst3/SyncSawSynth.vst3/Contents/Resources/ usr/lib/vst3/SyncSawSynth.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/SyncSawSynth.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/SyncSawSynth.vst3/Contents/Resources/Snapshots/4377ACAE737A45A89B64BA13A7C5E0B7_snapshot.png usr/lib/vst3/TrapezoidSynth.vst3/ usr/lib/vst3/TrapezoidSynth.vst3/Contents/ usr/lib/vst3/TrapezoidSynth.vst3/Contents/aarch64-linux/ usr/lib/vst3/TrapezoidSynth.vst3/Contents/aarch64-linux/TrapezoidSynth.so usr/lib/vst3/TrapezoidSynth.vst3/Contents/Resources/ usr/lib/vst3/TrapezoidSynth.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/TrapezoidSynth.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/TrapezoidSynth.vst3/Contents/Resources/Snapshots/A2A458AD3697430F9CF48840E3E721EF_snapshot.png usr/lib/vst3/UltrasonicRingMod.vst3/ usr/lib/vst3/UltrasonicRingMod.vst3/Contents/ usr/lib/vst3/UltrasonicRingMod.vst3/Contents/aarch64-linux/ usr/lib/vst3/UltrasonicRingMod.vst3/Contents/aarch64-linux/UltrasonicRingMod.so usr/lib/vst3/UltrasonicRingMod.vst3/Contents/Resources/ usr/lib/vst3/UltrasonicRingMod.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/UltrasonicRingMod.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/UltrasonicRingMod.vst3/Contents/Resources/Snapshots/67F7662C470F47ADAF877B5C06B39ED5_snapshot.png usr/lib/vst3/UltraSynth.vst3/ usr/lib/vst3/UltraSynth.vst3/Contents/ usr/lib/vst3/UltraSynth.vst3/Contents/aarch64-linux/ usr/lib/vst3/UltraSynth.vst3/Contents/aarch64-linux/UltraSynth.so usr/lib/vst3/UltraSynth.vst3/Contents/Resources/ usr/lib/vst3/UltraSynth.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/UltraSynth.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/UltraSynth.vst3/Contents/Resources/Snapshots/7AFF243DA6854F108378D9C0CBF71B07_snapshot.png usr/lib/vst3/WaveCymbal.vst3/ usr/lib/vst3/WaveCymbal.vst3/Contents/ usr/lib/vst3/WaveCymbal.vst3/Contents/aarch64-linux/ usr/lib/vst3/WaveCymbal.vst3/Contents/aarch64-linux/WaveCymbal.so usr/lib/vst3/WaveCymbal.vst3/Contents/Resources/ usr/lib/vst3/WaveCymbal.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/WaveCymbal.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/WaveCymbal.vst3/Contents/Resources/Snapshots/1EEBAD0DF81247A2AA6E27D75E111DC5_snapshot.png usr/share/ usr/share/doc/ usr/share/doc/uhhyou-plugins/ usr/share/doc/uhhyou-plugins/dev_note/ usr/share/doc/uhhyou-plugins/dev_note/img/ usr/share/doc/uhhyou-plugins/dev_note/img/VST3_overview.png usr/share/doc/uhhyou-plugins/dev_note/vst3_dev.html usr/share/doc/uhhyou-plugins/img/ usr/share/doc/uhhyou-plugins/img/favicon/ usr/share/doc/uhhyou-plugins/img/favicon/favicon.png usr/share/doc/uhhyou-plugins/img/favicon/favicon.svg usr/share/doc/uhhyou-plugins/img/thumbnail_ArcDark.png usr/share/doc/uhhyou-plugins/img/thumbnail_BB.png usr/share/doc/uhhyou-plugins/img/thumbnail_BlackOnWhite.png usr/share/doc/uhhyou-plugins/img/thumbnail_BlackOnWhiteInvert.png usr/share/doc/uhhyou-plugins/img/thumbnail_Leaf.png usr/share/doc/uhhyou-plugins/img/thumbnail_LightOnDark.png usr/share/doc/uhhyou-plugins/img/thumbnail_OrangeOnBlack.png usr/share/doc/uhhyou-plugins/img/thumbnail_Orbit.png usr/share/doc/uhhyou-plugins/img/thumbnail_SoftBlue.png usr/share/doc/uhhyou-plugins/img/thumbnail_Warmth.png usr/share/doc/uhhyou-plugins/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/index.html usr/share/doc/uhhyou-plugins/manual/ usr/share/doc/uhhyou-plugins/manual/AccumulativeRingMod/ usr/share/doc/uhhyou-plugins/manual/AccumulativeRingMod/AccumulativeRingMod_en.html usr/share/doc/uhhyou-plugins/manual/AccumulativeRingMod/AccumulativeRingMod_ja.html usr/share/doc/uhhyou-plugins/manual/AccumulativeRingMod/img/ usr/share/doc/uhhyou-plugins/manual/AccumulativeRingMod/img/AccumulativeRingMod.png usr/share/doc/uhhyou-plugins/manual/AccumulativeRingMod/img/AccumulativeRingMod.svg usr/share/doc/uhhyou-plugins/manual/AccumulativeRingMod/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/BasicLimiter/ usr/share/doc/uhhyou-plugins/manual/BasicLimiter/BasicLimiter_en.html usr/share/doc/uhhyou-plugins/manual/BasicLimiter/BasicLimiter_ja.html usr/share/doc/uhhyou-plugins/manual/BasicLimiter/img/ usr/share/doc/uhhyou-plugins/manual/BasicLimiter/img/BasicLimiter.png usr/share/doc/uhhyou-plugins/manual/BasicLimiter/img/BasicLimiter.svg usr/share/doc/uhhyou-plugins/manual/BasicLimiter/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/ClangSynth/ usr/share/doc/uhhyou-plugins/manual/ClangSynth/ClangSynth_en.html usr/share/doc/uhhyou-plugins/manual/ClangSynth/ClangSynth_ja.html usr/share/doc/uhhyou-plugins/manual/ClangSynth/img/ usr/share/doc/uhhyou-plugins/manual/ClangSynth/img/ClangCymbal.png usr/share/doc/uhhyou-plugins/manual/ClangSynth/img/ClangCymbal.svg usr/share/doc/uhhyou-plugins/manual/ClangSynth/img/ClangSynth.png usr/share/doc/uhhyou-plugins/manual/ClangSynth/img/ClangSynth.svg usr/share/doc/uhhyou-plugins/manual/ClangSynth/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/CollidingCombSynth/ usr/share/doc/uhhyou-plugins/manual/CollidingCombSynth/CollidingCombSynth_en.html usr/share/doc/uhhyou-plugins/manual/CollidingCombSynth/CollidingCombSynth_ja.html usr/share/doc/uhhyou-plugins/manual/CollidingCombSynth/img/ usr/share/doc/uhhyou-plugins/manual/CollidingCombSynth/img/CollidingCombSynth.png usr/share/doc/uhhyou-plugins/manual/CollidingCombSynth/img/CollidingCombSynth.svg usr/share/doc/uhhyou-plugins/manual/CollidingCombSynth/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/CombDistortion/ usr/share/doc/uhhyou-plugins/manual/CombDistortion/CombDistortion_en.html usr/share/doc/uhhyou-plugins/manual/CombDistortion/CombDistortion_ja.html usr/share/doc/uhhyou-plugins/manual/CombDistortion/img/ usr/share/doc/uhhyou-plugins/manual/CombDistortion/img/CombDistortion.png usr/share/doc/uhhyou-plugins/manual/CombDistortion/img/CombDistortion.svg usr/share/doc/uhhyou-plugins/manual/CombDistortion/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/ usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/CubicPadSynth_en.html usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/CubicPadSynth_ja.html usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/img/ usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/img/comb.png usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/img/CubicPadSynth_wavetable_tab.png usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/img/CubicPadSynth.png usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/img/CubicPadSynth.svg usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/img/expand.svg usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/img/interpolation_type.png usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/img/padsynth.svg usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/img/shift.svg usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/DoubleLoopCymbal/ usr/share/doc/uhhyou-plugins/manual/DoubleLoopCymbal/DoubleLoopCymbal_en.html usr/share/doc/uhhyou-plugins/manual/DoubleLoopCymbal/DoubleLoopCymbal_ja.html usr/share/doc/uhhyou-plugins/manual/DoubleLoopCymbal/img/ usr/share/doc/uhhyou-plugins/manual/DoubleLoopCymbal/img/DoubleLoopCymbal.png usr/share/doc/uhhyou-plugins/manual/DoubleLoopCymbal/img/DoubleLoopCymbal.svg usr/share/doc/uhhyou-plugins/manual/DoubleLoopCymbal/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/EnvelopedSine/ usr/share/doc/uhhyou-plugins/manual/EnvelopedSine/EnvelopedSine_en.html usr/share/doc/uhhyou-plugins/manual/EnvelopedSine/EnvelopedSine_ja.html usr/share/doc/uhhyou-plugins/manual/EnvelopedSine/img/ usr/share/doc/uhhyou-plugins/manual/EnvelopedSine/img/envelopedsine_expand.svg usr/share/doc/uhhyou-plugins/manual/EnvelopedSine/img/envelopedsine_shift.svg usr/share/doc/uhhyou-plugins/manual/EnvelopedSine/img/EnvelopedSine.png usr/share/doc/uhhyou-plugins/manual/EnvelopedSine/img/EnvelopedSine.svg usr/share/doc/uhhyou-plugins/manual/EnvelopedSine/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/EsPhaser/ usr/share/doc/uhhyou-plugins/manual/EsPhaser/EsPhaser_en.html usr/share/doc/uhhyou-plugins/manual/EsPhaser/EsPhaser_ja.html usr/share/doc/uhhyou-plugins/manual/EsPhaser/img/ usr/share/doc/uhhyou-plugins/manual/EsPhaser/img/EsPhaser.png usr/share/doc/uhhyou-plugins/manual/EsPhaser/img/EsPhaser.svg usr/share/doc/uhhyou-plugins/manual/EsPhaser/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/FDN64Reverb/ usr/share/doc/uhhyou-plugins/manual/FDN64Reverb/FDN64Reverb_en.html usr/share/doc/uhhyou-plugins/manual/FDN64Reverb/FDN64Reverb_ja.html usr/share/doc/uhhyou-plugins/manual/FDN64Reverb/img/ usr/share/doc/uhhyou-plugins/manual/FDN64Reverb/img/FDN64Reverb.png usr/share/doc/uhhyou-plugins/manual/FDN64Reverb/img/FDN64Reverb.svg usr/share/doc/uhhyou-plugins/manual/FDN64Reverb/img/skewplot.svg usr/share/doc/uhhyou-plugins/manual/FDN64Reverb/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/FDNCymbal/ usr/share/doc/uhhyou-plugins/manual/FDNCymbal/FDNCymbal_en.html usr/share/doc/uhhyou-plugins/manual/FDNCymbal/FDNCymbal_ja.html usr/share/doc/uhhyou-plugins/manual/FDNCymbal/img/ usr/share/doc/uhhyou-plugins/manual/FDNCymbal/img/FDNCymbal_web.svg usr/share/doc/uhhyou-plugins/manual/FDNCymbal/img/FDNCymbal.png usr/share/doc/uhhyou-plugins/manual/FDNCymbal/img/FDNCymbal.svg usr/share/doc/uhhyou-plugins/manual/FDNCymbal/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/FeedbackPhaser/ usr/share/doc/uhhyou-plugins/manual/FeedbackPhaser/FeedbackPhaser_en.html usr/share/doc/uhhyou-plugins/manual/FeedbackPhaser/FeedbackPhaser_ja.html usr/share/doc/uhhyou-plugins/manual/FeedbackPhaser/img/ usr/share/doc/uhhyou-plugins/manual/FeedbackPhaser/img/FeedbackPhaser.png usr/share/doc/uhhyou-plugins/manual/FeedbackPhaser/img/FeedbackPhaser.svg usr/share/doc/uhhyou-plugins/manual/FeedbackPhaser/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/GenericDrum/ usr/share/doc/uhhyou-plugins/manual/GenericDrum/GenericDrum_en.html usr/share/doc/uhhyou-plugins/manual/GenericDrum/GenericDrum_ja.html usr/share/doc/uhhyou-plugins/manual/GenericDrum/img/ usr/share/doc/uhhyou-plugins/manual/GenericDrum/img/GenericDrum.png usr/share/doc/uhhyou-plugins/manual/GenericDrum/img/GenericDrum.svg usr/share/doc/uhhyou-plugins/manual/GenericDrum/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/GlitchSprinkler/ usr/share/doc/uhhyou-plugins/manual/GlitchSprinkler/GlitchSprinkler_en.html usr/share/doc/uhhyou-plugins/manual/GlitchSprinkler/GlitchSprinkler_ja.html usr/share/doc/uhhyou-plugins/manual/GlitchSprinkler/img/ usr/share/doc/uhhyou-plugins/manual/GlitchSprinkler/img/GlitchSprinkler.png usr/share/doc/uhhyou-plugins/manual/GlitchSprinkler/img/GlitchSprinkler.svg usr/share/doc/uhhyou-plugins/manual/GlitchSprinkler/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/IterativeSinCluster/ usr/share/doc/uhhyou-plugins/manual/IterativeSinCluster/img/ usr/share/doc/uhhyou-plugins/manual/IterativeSinCluster/img/IterativeSinCluster.png usr/share/doc/uhhyou-plugins/manual/IterativeSinCluster/img/IterativeSinCluster.svg usr/share/doc/uhhyou-plugins/manual/IterativeSinCluster/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/IterativeSinCluster/IterativeSinCluster_en.html usr/share/doc/uhhyou-plugins/manual/IterativeSinCluster/IterativeSinCluster_ja.html usr/share/doc/uhhyou-plugins/manual/L4Reverb/ usr/share/doc/uhhyou-plugins/manual/L4Reverb/img/ usr/share/doc/uhhyou-plugins/manual/L4Reverb/img/L4Reverb.png usr/share/doc/uhhyou-plugins/manual/L4Reverb/img/L4Reverb.svg usr/share/doc/uhhyou-plugins/manual/L4Reverb/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/L4Reverb/L4Reverb_en.html usr/share/doc/uhhyou-plugins/manual/L4Reverb/L4Reverb_ja.html usr/share/doc/uhhyou-plugins/manual/LatticeReverb/ usr/share/doc/uhhyou-plugins/manual/LatticeReverb/img/ usr/share/doc/uhhyou-plugins/manual/LatticeReverb/img/LatticeReverb_modulation_tab.png usr/share/doc/uhhyou-plugins/manual/LatticeReverb/img/LatticeReverb_offset_tab.png usr/share/doc/uhhyou-plugins/manual/LatticeReverb/img/LatticeReverb.png usr/share/doc/uhhyou-plugins/manual/LatticeReverb/img/LatticeReverb.svg usr/share/doc/uhhyou-plugins/manual/LatticeReverb/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/LatticeReverb/LatticeReverb_en.html usr/share/doc/uhhyou-plugins/manual/LatticeReverb/LatticeReverb_ja.html usr/share/doc/uhhyou-plugins/manual/LightPadSynth/ usr/share/doc/uhhyou-plugins/manual/LightPadSynth/img/ usr/share/doc/uhhyou-plugins/manual/LightPadSynth/img/comb.png usr/share/doc/uhhyou-plugins/manual/LightPadSynth/img/expand.svg usr/share/doc/uhhyou-plugins/manual/LightPadSynth/img/interpolation_type.png usr/share/doc/uhhyou-plugins/manual/LightPadSynth/img/LightPadSynth_wavetable_tab.png usr/share/doc/uhhyou-plugins/manual/LightPadSynth/img/LightPadSynth.png usr/share/doc/uhhyou-plugins/manual/LightPadSynth/img/LightPadSynth.svg usr/share/doc/uhhyou-plugins/manual/LightPadSynth/img/shift.svg usr/share/doc/uhhyou-plugins/manual/LightPadSynth/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/LightPadSynth/LightPadSynth_en.html usr/share/doc/uhhyou-plugins/manual/LightPadSynth/LightPadSynth_ja.html usr/share/doc/uhhyou-plugins/manual/LongPhaser/ usr/share/doc/uhhyou-plugins/manual/LongPhaser/img/ usr/share/doc/uhhyou-plugins/manual/LongPhaser/img/LongPhaser.png usr/share/doc/uhhyou-plugins/manual/LongPhaser/img/LongPhaser.svg usr/share/doc/uhhyou-plugins/manual/LongPhaser/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/LongPhaser/LongPhaser_en.html usr/share/doc/uhhyou-plugins/manual/LongPhaser/LongPhaser_ja.html usr/share/doc/uhhyou-plugins/manual/MaybeSnare/ usr/share/doc/uhhyou-plugins/manual/MaybeSnare/img/ usr/share/doc/uhhyou-plugins/manual/MaybeSnare/img/MaybeSnare.png usr/share/doc/uhhyou-plugins/manual/MaybeSnare/img/MaybeSnare.svg usr/share/doc/uhhyou-plugins/manual/MaybeSnare/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/MaybeSnare/MaybeSnare_en.html usr/share/doc/uhhyou-plugins/manual/MaybeSnare/MaybeSnare_ja.html usr/share/doc/uhhyou-plugins/manual/MembraneSynth/ usr/share/doc/uhhyou-plugins/manual/MembraneSynth/img/ usr/share/doc/uhhyou-plugins/manual/MembraneSynth/img/MembraneSynth.png usr/share/doc/uhhyou-plugins/manual/MembraneSynth/img/MembraneSynth.svg usr/share/doc/uhhyou-plugins/manual/MembraneSynth/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/MembraneSynth/MembraneSynth_en.html usr/share/doc/uhhyou-plugins/manual/MembraneSynth/MembraneSynth_ja.html usr/share/doc/uhhyou-plugins/manual/MiniCliffEQ/ usr/share/doc/uhhyou-plugins/manual/MiniCliffEQ/img/ usr/share/doc/uhhyou-plugins/manual/MiniCliffEQ/img/MiniCliffEQ.png usr/share/doc/uhhyou-plugins/manual/MiniCliffEQ/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/MiniCliffEQ/MiniCliffEQ_en.html usr/share/doc/uhhyou-plugins/manual/MiniCliffEQ/MiniCliffEQ_ja.html usr/share/doc/uhhyou-plugins/manual/NarrowingDelay/ usr/share/doc/uhhyou-plugins/manual/NarrowingDelay/img/ usr/share/doc/uhhyou-plugins/manual/NarrowingDelay/img/NarrowingDelay.png usr/share/doc/uhhyou-plugins/manual/NarrowingDelay/img/NarrowingDelay.svg usr/share/doc/uhhyou-plugins/manual/NarrowingDelay/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/NarrowingDelay/NarrowingDelay_en.html usr/share/doc/uhhyou-plugins/manual/NarrowingDelay/NarrowingDelay_ja.html usr/share/doc/uhhyou-plugins/manual/OrdinaryPhaser/ usr/share/doc/uhhyou-plugins/manual/OrdinaryPhaser/img/ usr/share/doc/uhhyou-plugins/manual/OrdinaryPhaser/img/OrdinaryPhaser.png usr/share/doc/uhhyou-plugins/manual/OrdinaryPhaser/img/OrdinaryPhaser.svg usr/share/doc/uhhyou-plugins/manual/OrdinaryPhaser/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/OrdinaryPhaser/OrdinaryPhaser_en.html usr/share/doc/uhhyou-plugins/manual/OrdinaryPhaser/OrdinaryPhaser_ja.html usr/share/doc/uhhyou-plugins/manual/ParallelComb/ usr/share/doc/uhhyou-plugins/manual/ParallelComb/img/ usr/share/doc/uhhyou-plugins/manual/ParallelComb/img/ParallelComb.png usr/share/doc/uhhyou-plugins/manual/ParallelComb/img/ParallelComb.svg usr/share/doc/uhhyou-plugins/manual/ParallelComb/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/ParallelComb/ParallelComb_en.html usr/share/doc/uhhyou-plugins/manual/ParallelComb/ParallelComb_ja.html usr/share/doc/uhhyou-plugins/manual/ParallelDetune/ usr/share/doc/uhhyou-plugins/manual/ParallelDetune/img/ usr/share/doc/uhhyou-plugins/manual/ParallelDetune/img/ParallelDetune.png usr/share/doc/uhhyou-plugins/manual/ParallelDetune/img/ParallelDetune.svg usr/share/doc/uhhyou-plugins/manual/ParallelDetune/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/ParallelDetune/ParallelDetune_en.html usr/share/doc/uhhyou-plugins/manual/ParallelDetune/ParallelDetune_ja.html usr/share/doc/uhhyou-plugins/manual/PitchShiftDelay/ usr/share/doc/uhhyou-plugins/manual/PitchShiftDelay/img/ usr/share/doc/uhhyou-plugins/manual/PitchShiftDelay/img/PitchShiftDelay.png usr/share/doc/uhhyou-plugins/manual/PitchShiftDelay/img/PitchShiftDelay.svg usr/share/doc/uhhyou-plugins/manual/PitchShiftDelay/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/PitchShiftDelay/PitchShiftDelay_en.html usr/share/doc/uhhyou-plugins/manual/PitchShiftDelay/PitchShiftDelay_ja.html usr/share/doc/uhhyou-plugins/manual/RingModSpacer/ usr/share/doc/uhhyou-plugins/manual/RingModSpacer/img/ usr/share/doc/uhhyou-plugins/manual/RingModSpacer/img/RingModSpacer.png usr/share/doc/uhhyou-plugins/manual/RingModSpacer/img/RingModSpacer.svg usr/share/doc/uhhyou-plugins/manual/RingModSpacer/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/RingModSpacer/RingModSpacer_en.html usr/share/doc/uhhyou-plugins/manual/RingModSpacer/RingModSpacer_ja.html usr/share/doc/uhhyou-plugins/manual/SevenDelay/ usr/share/doc/uhhyou-plugins/manual/SevenDelay/img/ usr/share/doc/uhhyou-plugins/manual/SevenDelay/img/SevenDelay.png usr/share/doc/uhhyou-plugins/manual/SevenDelay/img/SevenDelay.svg usr/share/doc/uhhyou-plugins/manual/SevenDelay/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/SevenDelay/SevenDelay_en.html usr/share/doc/uhhyou-plugins/manual/SevenDelay/SevenDelay_ja.html usr/share/doc/uhhyou-plugins/manual/SyncSawSynth/ usr/share/doc/uhhyou-plugins/manual/SyncSawSynth/img/ usr/share/doc/uhhyou-plugins/manual/SyncSawSynth/img/SyncSawSynth.png usr/share/doc/uhhyou-plugins/manual/SyncSawSynth/img/SyncSawSynth.svg usr/share/doc/uhhyou-plugins/manual/SyncSawSynth/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/SyncSawSynth/SyncSawSynth_en.html usr/share/doc/uhhyou-plugins/manual/SyncSawSynth/SyncSawSynth_ja.html usr/share/doc/uhhyou-plugins/manual/TrapezoidSynth/ usr/share/doc/uhhyou-plugins/manual/TrapezoidSynth/img/ usr/share/doc/uhhyou-plugins/manual/TrapezoidSynth/img/TrapezoidSynth.png usr/share/doc/uhhyou-plugins/manual/TrapezoidSynth/img/TrapezoidSynth.svg usr/share/doc/uhhyou-plugins/manual/TrapezoidSynth/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/TrapezoidSynth/TrapezoidSynth_en.html usr/share/doc/uhhyou-plugins/manual/TrapezoidSynth/TrapezoidSynth_ja.html usr/share/doc/uhhyou-plugins/manual/UltrasonicRingMod/ usr/share/doc/uhhyou-plugins/manual/UltrasonicRingMod/img/ usr/share/doc/uhhyou-plugins/manual/UltrasonicRingMod/img/UltrasonicRingMod.png usr/share/doc/uhhyou-plugins/manual/UltrasonicRingMod/img/UltrasonicRingMod.svg usr/share/doc/uhhyou-plugins/manual/UltrasonicRingMod/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/UltrasonicRingMod/UltrasonicRingMod_en.html usr/share/doc/uhhyou-plugins/manual/UltrasonicRingMod/UltrasonicRingMod_ja.html usr/share/doc/uhhyou-plugins/manual/UltraSynth/ usr/share/doc/uhhyou-plugins/manual/UltraSynth/img/ usr/share/doc/uhhyou-plugins/manual/UltraSynth/img/UltraSynth.png usr/share/doc/uhhyou-plugins/manual/UltraSynth/img/UltraSynth.svg usr/share/doc/uhhyou-plugins/manual/UltraSynth/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/UltraSynth/UltraSynth_en.html usr/share/doc/uhhyou-plugins/manual/UltraSynth/UltraSynth_ja.html usr/share/doc/uhhyou-plugins/manual/WaveCymbal/ usr/share/doc/uhhyou-plugins/manual/WaveCymbal/img/ usr/share/doc/uhhyou-plugins/manual/WaveCymbal/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/WaveCymbal/img/WaveCymbal_web.svg usr/share/doc/uhhyou-plugins/manual/WaveCymbal/img/WaveCymbal.png usr/share/doc/uhhyou-plugins/manual/WaveCymbal/img/WaveCymbal.svg usr/share/doc/uhhyou-plugins/manual/WaveCymbal/WaveCymbal_en.html usr/share/doc/uhhyou-plugins/manual/WaveCymbal/WaveCymbal_ja.html usr/share/doc/uhhyou-plugins/template.html
x86_64uhhyou-plugins0.63.0-1A collection of synthesizer and effect VST3 plugins
Close

uhhyou-plugins 0.63.0-1


Architecture:x86_64
Base Package:uhhyou-plugins (PKGBUILD)
Description:A collection of synthesizer and effect VST3 plugins
Upstream URL:https://ryukau.github.io/VSTPlugins/
Groups:pro-audio
vst3-plugins
Download Size:19.8 MB (Download)
Installed Size:62.1 MB
License:GPL-3.0-only
Build Date:2024-10-12 01:34 UTC
Dependencies:cairo
gcc-libs
glibc
libfontconfig.so=1-64
libgobject-2.0.so=0-64
libpango-1.0.so=0-64
libpangocairo-1.0.so=0-64
libpangoft2-1.0.so=0-64
libxcb
libxkbcommon-x11.so=0-64
libxkbcommon.so=0-64
ttf-croscore
xcb-util
xcb-util-cursor
vst3-host: for running VST3 plugins (optional)
cmake (make)
fontconfig (make)
git (make)
gtkmm3 (make)
libx11 (make)
libxkbcommon-x11 (make)
pango (make)
vst3sdk (make)
xcb-util-keysyms (make)
Package Contents
usr/ usr/lib/ usr/lib/vst3/ usr/lib/vst3/AccumulativeRingMod.vst3/ usr/lib/vst3/AccumulativeRingMod.vst3/Contents/ usr/lib/vst3/AccumulativeRingMod.vst3/Contents/Resources/ usr/lib/vst3/AccumulativeRingMod.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/AccumulativeRingMod.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/AccumulativeRingMod.vst3/Contents/Resources/Snapshots/3DC7F14DE69E479C9BF0970D84AB5A64_snapshot.png usr/lib/vst3/AccumulativeRingMod.vst3/Contents/x86_64-linux/ usr/lib/vst3/AccumulativeRingMod.vst3/Contents/x86_64-linux/AccumulativeRingMod.so usr/lib/vst3/BasicLimiter.vst3/ usr/lib/vst3/BasicLimiter.vst3/Contents/ usr/lib/vst3/BasicLimiter.vst3/Contents/Resources/ usr/lib/vst3/BasicLimiter.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/BasicLimiter.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/BasicLimiter.vst3/Contents/Resources/Snapshots/95E38C055A274CE993E19AAA5AFF95F5_snapshot.png usr/lib/vst3/BasicLimiter.vst3/Contents/x86_64-linux/ usr/lib/vst3/BasicLimiter.vst3/Contents/x86_64-linux/BasicLimiter.so usr/lib/vst3/BasicLimiterAutoMake.vst3/ usr/lib/vst3/BasicLimiterAutoMake.vst3/Contents/ usr/lib/vst3/BasicLimiterAutoMake.vst3/Contents/Resources/ usr/lib/vst3/BasicLimiterAutoMake.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/BasicLimiterAutoMake.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/BasicLimiterAutoMake.vst3/Contents/Resources/Snapshots/AB6D22F67C2A4ADABCFDAF958D3CFA57_snapshot.png usr/lib/vst3/BasicLimiterAutoMake.vst3/Contents/x86_64-linux/ usr/lib/vst3/BasicLimiterAutoMake.vst3/Contents/x86_64-linux/BasicLimiterAutoMake.so usr/lib/vst3/ClangCymbal.vst3/ usr/lib/vst3/ClangCymbal.vst3/Contents/ usr/lib/vst3/ClangCymbal.vst3/Contents/Resources/ usr/lib/vst3/ClangCymbal.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/ClangCymbal.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/ClangCymbal.vst3/Contents/Resources/Snapshots/F99D3FCFF4E74CC4AD4B756B082C81A2_snapshot.png usr/lib/vst3/ClangCymbal.vst3/Contents/x86_64-linux/ usr/lib/vst3/ClangCymbal.vst3/Contents/x86_64-linux/ClangCymbal.so usr/lib/vst3/ClangSynth.vst3/ usr/lib/vst3/ClangSynth.vst3/Contents/ usr/lib/vst3/ClangSynth.vst3/Contents/Resources/ usr/lib/vst3/ClangSynth.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/ClangSynth.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/ClangSynth.vst3/Contents/Resources/Snapshots/31D71DE1C3754F49BAC9E1EE064D3A8C_snapshot.png usr/lib/vst3/ClangSynth.vst3/Contents/x86_64-linux/ usr/lib/vst3/ClangSynth.vst3/Contents/x86_64-linux/ClangSynth.so usr/lib/vst3/CollidingCombSynth.vst3/ usr/lib/vst3/CollidingCombSynth.vst3/Contents/ usr/lib/vst3/CollidingCombSynth.vst3/Contents/Resources/ usr/lib/vst3/CollidingCombSynth.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/CollidingCombSynth.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/CollidingCombSynth.vst3/Contents/Resources/Snapshots/10435C85B1B54FD9ACE981C7E46B02FF_snapshot.png usr/lib/vst3/CollidingCombSynth.vst3/Contents/x86_64-linux/ usr/lib/vst3/CollidingCombSynth.vst3/Contents/x86_64-linux/CollidingCombSynth.so usr/lib/vst3/CombDistortion.vst3/ usr/lib/vst3/CombDistortion.vst3/Contents/ usr/lib/vst3/CombDistortion.vst3/Contents/Resources/ usr/lib/vst3/CombDistortion.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/CombDistortion.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/CombDistortion.vst3/Contents/Resources/Snapshots/A7607B7290954E38948B9E3D1166F37D_snapshot.png usr/lib/vst3/CombDistortion.vst3/Contents/x86_64-linux/ usr/lib/vst3/CombDistortion.vst3/Contents/x86_64-linux/CombDistortion.so usr/lib/vst3/CubicPadSynth.vst3/ usr/lib/vst3/CubicPadSynth.vst3/Contents/ usr/lib/vst3/CubicPadSynth.vst3/Contents/Resources/ usr/lib/vst3/CubicPadSynth.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/CubicPadSynth.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/CubicPadSynth.vst3/Contents/Resources/Snapshots/461BCB96D5AC4E5495E341686C5E952A_snapshot.png usr/lib/vst3/CubicPadSynth.vst3/Contents/x86_64-linux/ usr/lib/vst3/CubicPadSynth.vst3/Contents/x86_64-linux/CubicPadSynth.so usr/lib/vst3/DoubleLoopCymbal.vst3/ usr/lib/vst3/DoubleLoopCymbal.vst3/Contents/ usr/lib/vst3/DoubleLoopCymbal.vst3/Contents/Resources/ usr/lib/vst3/DoubleLoopCymbal.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/DoubleLoopCymbal.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/DoubleLoopCymbal.vst3/Contents/Resources/Snapshots/58A4DB52D9B74A1285AF5C8DAB9F9423_snapshot.png usr/lib/vst3/DoubleLoopCymbal.vst3/Contents/x86_64-linux/ usr/lib/vst3/DoubleLoopCymbal.vst3/Contents/x86_64-linux/DoubleLoopCymbal.so usr/lib/vst3/EnvelopedSine.vst3/ usr/lib/vst3/EnvelopedSine.vst3/Contents/ usr/lib/vst3/EnvelopedSine.vst3/Contents/Resources/ usr/lib/vst3/EnvelopedSine.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/EnvelopedSine.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/EnvelopedSine.vst3/Contents/Resources/Snapshots/48168B5EC1334AE88E05BFC822474464_snapshot.png usr/lib/vst3/EnvelopedSine.vst3/Contents/x86_64-linux/ usr/lib/vst3/EnvelopedSine.vst3/Contents/x86_64-linux/EnvelopedSine.so usr/lib/vst3/EsPhaser.vst3/ usr/lib/vst3/EsPhaser.vst3/Contents/ usr/lib/vst3/EsPhaser.vst3/Contents/Resources/ usr/lib/vst3/EsPhaser.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/EsPhaser.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/EsPhaser.vst3/Contents/Resources/Snapshots/77E2CA3A7DAE459493555B97B33EBAEA_snapshot.png usr/lib/vst3/EsPhaser.vst3/Contents/x86_64-linux/ usr/lib/vst3/EsPhaser.vst3/Contents/x86_64-linux/EsPhaser.so usr/lib/vst3/FDN64Reverb.vst3/ usr/lib/vst3/FDN64Reverb.vst3/Contents/ usr/lib/vst3/FDN64Reverb.vst3/Contents/Resources/ usr/lib/vst3/FDN64Reverb.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/FDN64Reverb.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/FDN64Reverb.vst3/Contents/Resources/Snapshots/C880D61A8F9A443D9612BA79E69A87C3_snapshot.png usr/lib/vst3/FDN64Reverb.vst3/Contents/x86_64-linux/ usr/lib/vst3/FDN64Reverb.vst3/Contents/x86_64-linux/FDN64Reverb.so usr/lib/vst3/FDNCymbal.vst3/ usr/lib/vst3/FDNCymbal.vst3/Contents/ usr/lib/vst3/FDNCymbal.vst3/Contents/Resources/ usr/lib/vst3/FDNCymbal.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/FDNCymbal.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/FDNCymbal.vst3/Contents/Resources/Snapshots/1F217F2CE23F4BF4A2716CAE41B5AE4D_snapshot.png usr/lib/vst3/FDNCymbal.vst3/Contents/x86_64-linux/ usr/lib/vst3/FDNCymbal.vst3/Contents/x86_64-linux/FDNCymbal.so usr/lib/vst3/FeedbackPhaser.vst3/ usr/lib/vst3/FeedbackPhaser.vst3/Contents/ usr/lib/vst3/FeedbackPhaser.vst3/Contents/Resources/ usr/lib/vst3/FeedbackPhaser.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/FeedbackPhaser.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/FeedbackPhaser.vst3/Contents/Resources/Snapshots/4D7FB2ABCB564068ACF603D40A2A08B6_snapshot.png usr/lib/vst3/FeedbackPhaser.vst3/Contents/x86_64-linux/ usr/lib/vst3/FeedbackPhaser.vst3/Contents/x86_64-linux/FeedbackPhaser.so usr/lib/vst3/FoldShaper.vst3/ usr/lib/vst3/FoldShaper.vst3/Contents/ usr/lib/vst3/FoldShaper.vst3/Contents/Resources/ usr/lib/vst3/FoldShaper.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/FoldShaper.vst3/Contents/x86_64-linux/ usr/lib/vst3/FoldShaper.vst3/Contents/x86_64-linux/FoldShaper.so usr/lib/vst3/GenericDrum.vst3/ usr/lib/vst3/GenericDrum.vst3/Contents/ usr/lib/vst3/GenericDrum.vst3/Contents/Resources/ usr/lib/vst3/GenericDrum.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/GenericDrum.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/GenericDrum.vst3/Contents/Resources/Snapshots/97B971DA2A0E4E0B9B3F1278DC9BFB60_snapshot.png usr/lib/vst3/GenericDrum.vst3/Contents/x86_64-linux/ usr/lib/vst3/GenericDrum.vst3/Contents/x86_64-linux/GenericDrum.so usr/lib/vst3/GlitchSprinkler.vst3/ usr/lib/vst3/GlitchSprinkler.vst3/Contents/ usr/lib/vst3/GlitchSprinkler.vst3/Contents/Resources/ usr/lib/vst3/GlitchSprinkler.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/GlitchSprinkler.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/GlitchSprinkler.vst3/Contents/Resources/Snapshots/53ED357F43D84B9A921DBD16AA763670_snapshot.png usr/lib/vst3/GlitchSprinkler.vst3/Contents/x86_64-linux/ usr/lib/vst3/GlitchSprinkler.vst3/Contents/x86_64-linux/GlitchSprinkler.so usr/lib/vst3/IterativeSinCluster.vst3/ usr/lib/vst3/IterativeSinCluster.vst3/Contents/ usr/lib/vst3/IterativeSinCluster.vst3/Contents/Resources/ usr/lib/vst3/IterativeSinCluster.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/IterativeSinCluster.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/IterativeSinCluster.vst3/Contents/Resources/Snapshots/17E56F33963943F6AB265180357446E6_snapshot.png usr/lib/vst3/IterativeSinCluster.vst3/Contents/x86_64-linux/ usr/lib/vst3/IterativeSinCluster.vst3/Contents/x86_64-linux/IterativeSinCluster.so usr/lib/vst3/L3Reverb.vst3/ usr/lib/vst3/L3Reverb.vst3/Contents/ usr/lib/vst3/L3Reverb.vst3/Contents/Resources/ usr/lib/vst3/L3Reverb.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/L3Reverb.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/L3Reverb.vst3/Contents/Resources/Snapshots/FADE6289F4AC4CF79CDB02E8960CEA79_snapshot.png usr/lib/vst3/L3Reverb.vst3/Contents/x86_64-linux/ usr/lib/vst3/L3Reverb.vst3/Contents/x86_64-linux/L3Reverb.so usr/lib/vst3/L4Reverb.vst3/ usr/lib/vst3/L4Reverb.vst3/Contents/ usr/lib/vst3/L4Reverb.vst3/Contents/Resources/ usr/lib/vst3/L4Reverb.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/L4Reverb.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/L4Reverb.vst3/Contents/Resources/Snapshots/43676AEFBB814CD68B399AC3BF5DB320_snapshot.png usr/lib/vst3/L4Reverb.vst3/Contents/x86_64-linux/ usr/lib/vst3/L4Reverb.vst3/Contents/x86_64-linux/L4Reverb.so usr/lib/vst3/LatticeReverb.vst3/ usr/lib/vst3/LatticeReverb.vst3/Contents/ usr/lib/vst3/LatticeReverb.vst3/Contents/Resources/ usr/lib/vst3/LatticeReverb.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/LatticeReverb.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/LatticeReverb.vst3/Contents/Resources/Snapshots/5B81B24DE43E478FAC8538C43D0550D2_snapshot.png usr/lib/vst3/LatticeReverb.vst3/Contents/x86_64-linux/ usr/lib/vst3/LatticeReverb.vst3/Contents/x86_64-linux/LatticeReverb.so usr/lib/vst3/LightPadSynth.vst3/ usr/lib/vst3/LightPadSynth.vst3/Contents/ usr/lib/vst3/LightPadSynth.vst3/Contents/Resources/ usr/lib/vst3/LightPadSynth.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/LightPadSynth.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/LightPadSynth.vst3/Contents/Resources/Snapshots/DBA003596849493B913464191F2E1909_snapshot.png usr/lib/vst3/LightPadSynth.vst3/Contents/x86_64-linux/ usr/lib/vst3/LightPadSynth.vst3/Contents/x86_64-linux/LightPadSynth.so usr/lib/vst3/LongPhaser.vst3/ usr/lib/vst3/LongPhaser.vst3/Contents/ usr/lib/vst3/LongPhaser.vst3/Contents/Resources/ usr/lib/vst3/LongPhaser.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/LongPhaser.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/LongPhaser.vst3/Contents/Resources/Snapshots/699672A8A75841CB88537D6EC17B6931_snapshot.png usr/lib/vst3/LongPhaser.vst3/Contents/x86_64-linux/ usr/lib/vst3/LongPhaser.vst3/Contents/x86_64-linux/LongPhaser.so usr/lib/vst3/MatrixShifter.vst3/ usr/lib/vst3/MatrixShifter.vst3/Contents/ usr/lib/vst3/MatrixShifter.vst3/Contents/Resources/ usr/lib/vst3/MatrixShifter.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/MatrixShifter.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/MatrixShifter.vst3/Contents/Resources/Snapshots/6B558433326940F1B7DA266E997B0A06_snapshot.png usr/lib/vst3/MatrixShifter.vst3/Contents/x86_64-linux/ usr/lib/vst3/MatrixShifter.vst3/Contents/x86_64-linux/MatrixShifter.so usr/lib/vst3/MaybeSnare.vst3/ usr/lib/vst3/MaybeSnare.vst3/Contents/ usr/lib/vst3/MaybeSnare.vst3/Contents/Resources/ usr/lib/vst3/MaybeSnare.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/MaybeSnare.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/MaybeSnare.vst3/Contents/Resources/Snapshots/8CEAB2D991E3419480C077FFD3840FC4_snapshot.png usr/lib/vst3/MaybeSnare.vst3/Contents/x86_64-linux/ usr/lib/vst3/MaybeSnare.vst3/Contents/x86_64-linux/MaybeSnare.so usr/lib/vst3/MembraneSynth.vst3/ usr/lib/vst3/MembraneSynth.vst3/Contents/ usr/lib/vst3/MembraneSynth.vst3/Contents/Resources/ usr/lib/vst3/MembraneSynth.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/MembraneSynth.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/MembraneSynth.vst3/Contents/Resources/Snapshots/6A672DC1E57A4D6FA81A49F09916B435_snapshot.png usr/lib/vst3/MembraneSynth.vst3/Contents/x86_64-linux/ usr/lib/vst3/MembraneSynth.vst3/Contents/x86_64-linux/MembraneSynth.so usr/lib/vst3/MiniCliffEQ.vst3/ usr/lib/vst3/MiniCliffEQ.vst3/Contents/ usr/lib/vst3/MiniCliffEQ.vst3/Contents/Resources/ usr/lib/vst3/MiniCliffEQ.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/MiniCliffEQ.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/MiniCliffEQ.vst3/Contents/Resources/Snapshots/6DC19649B15244BB8CCAD8CD71F629E9_snapshot.png usr/lib/vst3/MiniCliffEQ.vst3/Contents/x86_64-linux/ usr/lib/vst3/MiniCliffEQ.vst3/Contents/x86_64-linux/MiniCliffEQ.so usr/lib/vst3/ModuloShaper.vst3/ usr/lib/vst3/ModuloShaper.vst3/Contents/ usr/lib/vst3/ModuloShaper.vst3/Contents/Resources/ usr/lib/vst3/ModuloShaper.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/ModuloShaper.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/ModuloShaper.vst3/Contents/Resources/Snapshots/5ACBA767837B4C029DE8E89BD232C5B9_snapshot.png usr/lib/vst3/ModuloShaper.vst3/Contents/x86_64-linux/ usr/lib/vst3/ModuloShaper.vst3/Contents/x86_64-linux/ModuloShaper.so usr/lib/vst3/NarrowingDelay.vst3/ usr/lib/vst3/NarrowingDelay.vst3/Contents/ usr/lib/vst3/NarrowingDelay.vst3/Contents/Resources/ usr/lib/vst3/NarrowingDelay.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/NarrowingDelay.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/NarrowingDelay.vst3/Contents/Resources/Snapshots/2F26E910CB5446A48EEC8E38AB36F890_snapshot.png usr/lib/vst3/NarrowingDelay.vst3/Contents/x86_64-linux/ usr/lib/vst3/NarrowingDelay.vst3/Contents/x86_64-linux/NarrowingDelay.so usr/lib/vst3/OddPowShaper.vst3/ usr/lib/vst3/OddPowShaper.vst3/Contents/ usr/lib/vst3/OddPowShaper.vst3/Contents/Resources/ usr/lib/vst3/OddPowShaper.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/OddPowShaper.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/OddPowShaper.vst3/Contents/Resources/Snapshots/522FB4206F4A417AB6E874DE8ABA6DEE_snapshot.png usr/lib/vst3/OddPowShaper.vst3/Contents/x86_64-linux/ usr/lib/vst3/OddPowShaper.vst3/Contents/x86_64-linux/OddPowShaper.so usr/lib/vst3/OrdinaryPhaser.vst3/ usr/lib/vst3/OrdinaryPhaser.vst3/Contents/ usr/lib/vst3/OrdinaryPhaser.vst3/Contents/Resources/ usr/lib/vst3/OrdinaryPhaser.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/OrdinaryPhaser.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/OrdinaryPhaser.vst3/Contents/Resources/Snapshots/B80CFC48698A4016852D7818310D7DA3_snapshot.png usr/lib/vst3/OrdinaryPhaser.vst3/Contents/x86_64-linux/ usr/lib/vst3/OrdinaryPhaser.vst3/Contents/x86_64-linux/OrdinaryPhaser.so usr/lib/vst3/ParallelComb.vst3/ usr/lib/vst3/ParallelComb.vst3/Contents/ usr/lib/vst3/ParallelComb.vst3/Contents/Resources/ usr/lib/vst3/ParallelComb.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/ParallelComb.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/ParallelComb.vst3/Contents/Resources/Snapshots/885129EAFB1F40189877B912E7F740AF_snapshot.png usr/lib/vst3/ParallelComb.vst3/Contents/x86_64-linux/ usr/lib/vst3/ParallelComb.vst3/Contents/x86_64-linux/ParallelComb.so usr/lib/vst3/ParallelDetune.vst3/ usr/lib/vst3/ParallelDetune.vst3/Contents/ usr/lib/vst3/ParallelDetune.vst3/Contents/Resources/ usr/lib/vst3/ParallelDetune.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/ParallelDetune.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/ParallelDetune.vst3/Contents/Resources/Snapshots/42D5E208A916474AB45B302C62BC1650_snapshot.png usr/lib/vst3/ParallelDetune.vst3/Contents/x86_64-linux/ usr/lib/vst3/ParallelDetune.vst3/Contents/x86_64-linux/ParallelDetune.so usr/lib/vst3/PitchShiftDelay.vst3/ usr/lib/vst3/PitchShiftDelay.vst3/Contents/ usr/lib/vst3/PitchShiftDelay.vst3/Contents/Resources/ usr/lib/vst3/PitchShiftDelay.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/PitchShiftDelay.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/PitchShiftDelay.vst3/Contents/Resources/Snapshots/14087F0853BA4C4FA6C9391088BF809B_snapshot.png usr/lib/vst3/PitchShiftDelay.vst3/Contents/x86_64-linux/ usr/lib/vst3/PitchShiftDelay.vst3/Contents/x86_64-linux/PitchShiftDelay.so usr/lib/vst3/RingModSpacer.vst3/ usr/lib/vst3/RingModSpacer.vst3/Contents/ usr/lib/vst3/RingModSpacer.vst3/Contents/Resources/ usr/lib/vst3/RingModSpacer.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/RingModSpacer.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/RingModSpacer.vst3/Contents/Resources/Snapshots/48B91321E75349B58459491CBD923DB8_snapshot.png usr/lib/vst3/RingModSpacer.vst3/Contents/x86_64-linux/ usr/lib/vst3/RingModSpacer.vst3/Contents/x86_64-linux/RingModSpacer.so usr/lib/vst3/SevenDelay.vst3/ usr/lib/vst3/SevenDelay.vst3/Contents/ usr/lib/vst3/SevenDelay.vst3/Contents/Resources/ usr/lib/vst3/SevenDelay.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/SevenDelay.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/SevenDelay.vst3/Contents/Resources/Snapshots/CD3D8D67AE6E4322B39DDDC0CA4C35A1_snapshot.png usr/lib/vst3/SevenDelay.vst3/Contents/x86_64-linux/ usr/lib/vst3/SevenDelay.vst3/Contents/x86_64-linux/SevenDelay.so usr/lib/vst3/SoftClipper.vst3/ usr/lib/vst3/SoftClipper.vst3/Contents/ usr/lib/vst3/SoftClipper.vst3/Contents/Resources/ usr/lib/vst3/SoftClipper.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/SoftClipper.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/SoftClipper.vst3/Contents/Resources/Snapshots/C9D814BCB61147C2BFD03A00636C90D7_snapshot.png usr/lib/vst3/SoftClipper.vst3/Contents/x86_64-linux/ usr/lib/vst3/SoftClipper.vst3/Contents/x86_64-linux/SoftClipper.so usr/lib/vst3/SyncSawSynth.vst3/ usr/lib/vst3/SyncSawSynth.vst3/Contents/ usr/lib/vst3/SyncSawSynth.vst3/Contents/Resources/ usr/lib/vst3/SyncSawSynth.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/SyncSawSynth.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/SyncSawSynth.vst3/Contents/Resources/Snapshots/4377ACAE737A45A89B64BA13A7C5E0B7_snapshot.png usr/lib/vst3/SyncSawSynth.vst3/Contents/x86_64-linux/ usr/lib/vst3/SyncSawSynth.vst3/Contents/x86_64-linux/SyncSawSynth.so usr/lib/vst3/TrapezoidSynth.vst3/ usr/lib/vst3/TrapezoidSynth.vst3/Contents/ usr/lib/vst3/TrapezoidSynth.vst3/Contents/Resources/ usr/lib/vst3/TrapezoidSynth.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/TrapezoidSynth.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/TrapezoidSynth.vst3/Contents/Resources/Snapshots/A2A458AD3697430F9CF48840E3E721EF_snapshot.png usr/lib/vst3/TrapezoidSynth.vst3/Contents/x86_64-linux/ usr/lib/vst3/TrapezoidSynth.vst3/Contents/x86_64-linux/TrapezoidSynth.so usr/lib/vst3/UltrasonicRingMod.vst3/ usr/lib/vst3/UltrasonicRingMod.vst3/Contents/ usr/lib/vst3/UltrasonicRingMod.vst3/Contents/Resources/ usr/lib/vst3/UltrasonicRingMod.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/UltrasonicRingMod.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/UltrasonicRingMod.vst3/Contents/Resources/Snapshots/67F7662C470F47ADAF877B5C06B39ED5_snapshot.png usr/lib/vst3/UltrasonicRingMod.vst3/Contents/x86_64-linux/ usr/lib/vst3/UltrasonicRingMod.vst3/Contents/x86_64-linux/UltrasonicRingMod.so usr/lib/vst3/UltraSynth.vst3/ usr/lib/vst3/UltraSynth.vst3/Contents/ usr/lib/vst3/UltraSynth.vst3/Contents/Resources/ usr/lib/vst3/UltraSynth.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/UltraSynth.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/UltraSynth.vst3/Contents/Resources/Snapshots/7AFF243DA6854F108378D9C0CBF71B07_snapshot.png usr/lib/vst3/UltraSynth.vst3/Contents/x86_64-linux/ usr/lib/vst3/UltraSynth.vst3/Contents/x86_64-linux/UltraSynth.so usr/lib/vst3/WaveCymbal.vst3/ usr/lib/vst3/WaveCymbal.vst3/Contents/ usr/lib/vst3/WaveCymbal.vst3/Contents/Resources/ usr/lib/vst3/WaveCymbal.vst3/Contents/Resources/moduleinfo.json usr/lib/vst3/WaveCymbal.vst3/Contents/Resources/Snapshots/ usr/lib/vst3/WaveCymbal.vst3/Contents/Resources/Snapshots/1EEBAD0DF81247A2AA6E27D75E111DC5_snapshot.png usr/lib/vst3/WaveCymbal.vst3/Contents/x86_64-linux/ usr/lib/vst3/WaveCymbal.vst3/Contents/x86_64-linux/WaveCymbal.so usr/share/ usr/share/doc/ usr/share/doc/uhhyou-plugins/ usr/share/doc/uhhyou-plugins/dev_note/ usr/share/doc/uhhyou-plugins/dev_note/img/ usr/share/doc/uhhyou-plugins/dev_note/img/VST3_overview.png usr/share/doc/uhhyou-plugins/dev_note/vst3_dev.html usr/share/doc/uhhyou-plugins/img/ usr/share/doc/uhhyou-plugins/img/favicon/ usr/share/doc/uhhyou-plugins/img/favicon/favicon.png usr/share/doc/uhhyou-plugins/img/favicon/favicon.svg usr/share/doc/uhhyou-plugins/img/thumbnail_ArcDark.png usr/share/doc/uhhyou-plugins/img/thumbnail_BB.png usr/share/doc/uhhyou-plugins/img/thumbnail_BlackOnWhite.png usr/share/doc/uhhyou-plugins/img/thumbnail_BlackOnWhiteInvert.png usr/share/doc/uhhyou-plugins/img/thumbnail_Leaf.png usr/share/doc/uhhyou-plugins/img/thumbnail_LightOnDark.png usr/share/doc/uhhyou-plugins/img/thumbnail_OrangeOnBlack.png usr/share/doc/uhhyou-plugins/img/thumbnail_Orbit.png usr/share/doc/uhhyou-plugins/img/thumbnail_SoftBlue.png usr/share/doc/uhhyou-plugins/img/thumbnail_Warmth.png usr/share/doc/uhhyou-plugins/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/index.html usr/share/doc/uhhyou-plugins/manual/ usr/share/doc/uhhyou-plugins/manual/AccumulativeRingMod/ usr/share/doc/uhhyou-plugins/manual/AccumulativeRingMod/AccumulativeRingMod_en.html usr/share/doc/uhhyou-plugins/manual/AccumulativeRingMod/AccumulativeRingMod_ja.html usr/share/doc/uhhyou-plugins/manual/AccumulativeRingMod/img/ usr/share/doc/uhhyou-plugins/manual/AccumulativeRingMod/img/AccumulativeRingMod.png usr/share/doc/uhhyou-plugins/manual/AccumulativeRingMod/img/AccumulativeRingMod.svg usr/share/doc/uhhyou-plugins/manual/AccumulativeRingMod/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/BasicLimiter/ usr/share/doc/uhhyou-plugins/manual/BasicLimiter/BasicLimiter_en.html usr/share/doc/uhhyou-plugins/manual/BasicLimiter/BasicLimiter_ja.html usr/share/doc/uhhyou-plugins/manual/BasicLimiter/img/ usr/share/doc/uhhyou-plugins/manual/BasicLimiter/img/BasicLimiter.png usr/share/doc/uhhyou-plugins/manual/BasicLimiter/img/BasicLimiter.svg usr/share/doc/uhhyou-plugins/manual/BasicLimiter/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/ClangSynth/ usr/share/doc/uhhyou-plugins/manual/ClangSynth/ClangSynth_en.html usr/share/doc/uhhyou-plugins/manual/ClangSynth/ClangSynth_ja.html usr/share/doc/uhhyou-plugins/manual/ClangSynth/img/ usr/share/doc/uhhyou-plugins/manual/ClangSynth/img/ClangCymbal.png usr/share/doc/uhhyou-plugins/manual/ClangSynth/img/ClangCymbal.svg usr/share/doc/uhhyou-plugins/manual/ClangSynth/img/ClangSynth.png usr/share/doc/uhhyou-plugins/manual/ClangSynth/img/ClangSynth.svg usr/share/doc/uhhyou-plugins/manual/ClangSynth/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/CollidingCombSynth/ usr/share/doc/uhhyou-plugins/manual/CollidingCombSynth/CollidingCombSynth_en.html usr/share/doc/uhhyou-plugins/manual/CollidingCombSynth/CollidingCombSynth_ja.html usr/share/doc/uhhyou-plugins/manual/CollidingCombSynth/img/ usr/share/doc/uhhyou-plugins/manual/CollidingCombSynth/img/CollidingCombSynth.png usr/share/doc/uhhyou-plugins/manual/CollidingCombSynth/img/CollidingCombSynth.svg usr/share/doc/uhhyou-plugins/manual/CollidingCombSynth/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/CombDistortion/ usr/share/doc/uhhyou-plugins/manual/CombDistortion/CombDistortion_en.html usr/share/doc/uhhyou-plugins/manual/CombDistortion/CombDistortion_ja.html usr/share/doc/uhhyou-plugins/manual/CombDistortion/img/ usr/share/doc/uhhyou-plugins/manual/CombDistortion/img/CombDistortion.png usr/share/doc/uhhyou-plugins/manual/CombDistortion/img/CombDistortion.svg usr/share/doc/uhhyou-plugins/manual/CombDistortion/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/ usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/CubicPadSynth_en.html usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/CubicPadSynth_ja.html usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/img/ usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/img/comb.png usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/img/CubicPadSynth_wavetable_tab.png usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/img/CubicPadSynth.png usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/img/CubicPadSynth.svg usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/img/expand.svg usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/img/interpolation_type.png usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/img/padsynth.svg usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/img/shift.svg usr/share/doc/uhhyou-plugins/manual/CubicPadSynth/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/DoubleLoopCymbal/ usr/share/doc/uhhyou-plugins/manual/DoubleLoopCymbal/DoubleLoopCymbal_en.html usr/share/doc/uhhyou-plugins/manual/DoubleLoopCymbal/DoubleLoopCymbal_ja.html usr/share/doc/uhhyou-plugins/manual/DoubleLoopCymbal/img/ usr/share/doc/uhhyou-plugins/manual/DoubleLoopCymbal/img/DoubleLoopCymbal.png usr/share/doc/uhhyou-plugins/manual/DoubleLoopCymbal/img/DoubleLoopCymbal.svg usr/share/doc/uhhyou-plugins/manual/DoubleLoopCymbal/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/EnvelopedSine/ usr/share/doc/uhhyou-plugins/manual/EnvelopedSine/EnvelopedSine_en.html usr/share/doc/uhhyou-plugins/manual/EnvelopedSine/EnvelopedSine_ja.html usr/share/doc/uhhyou-plugins/manual/EnvelopedSine/img/ usr/share/doc/uhhyou-plugins/manual/EnvelopedSine/img/envelopedsine_expand.svg usr/share/doc/uhhyou-plugins/manual/EnvelopedSine/img/envelopedsine_shift.svg usr/share/doc/uhhyou-plugins/manual/EnvelopedSine/img/EnvelopedSine.png usr/share/doc/uhhyou-plugins/manual/EnvelopedSine/img/EnvelopedSine.svg usr/share/doc/uhhyou-plugins/manual/EnvelopedSine/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/EsPhaser/ usr/share/doc/uhhyou-plugins/manual/EsPhaser/EsPhaser_en.html usr/share/doc/uhhyou-plugins/manual/EsPhaser/EsPhaser_ja.html usr/share/doc/uhhyou-plugins/manual/EsPhaser/img/ usr/share/doc/uhhyou-plugins/manual/EsPhaser/img/EsPhaser.png usr/share/doc/uhhyou-plugins/manual/EsPhaser/img/EsPhaser.svg usr/share/doc/uhhyou-plugins/manual/EsPhaser/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/FDN64Reverb/ usr/share/doc/uhhyou-plugins/manual/FDN64Reverb/FDN64Reverb_en.html usr/share/doc/uhhyou-plugins/manual/FDN64Reverb/FDN64Reverb_ja.html usr/share/doc/uhhyou-plugins/manual/FDN64Reverb/img/ usr/share/doc/uhhyou-plugins/manual/FDN64Reverb/img/FDN64Reverb.png usr/share/doc/uhhyou-plugins/manual/FDN64Reverb/img/FDN64Reverb.svg usr/share/doc/uhhyou-plugins/manual/FDN64Reverb/img/skewplot.svg usr/share/doc/uhhyou-plugins/manual/FDN64Reverb/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/FDNCymbal/ usr/share/doc/uhhyou-plugins/manual/FDNCymbal/FDNCymbal_en.html usr/share/doc/uhhyou-plugins/manual/FDNCymbal/FDNCymbal_ja.html usr/share/doc/uhhyou-plugins/manual/FDNCymbal/img/ usr/share/doc/uhhyou-plugins/manual/FDNCymbal/img/FDNCymbal_web.svg usr/share/doc/uhhyou-plugins/manual/FDNCymbal/img/FDNCymbal.png usr/share/doc/uhhyou-plugins/manual/FDNCymbal/img/FDNCymbal.svg usr/share/doc/uhhyou-plugins/manual/FDNCymbal/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/FeedbackPhaser/ usr/share/doc/uhhyou-plugins/manual/FeedbackPhaser/FeedbackPhaser_en.html usr/share/doc/uhhyou-plugins/manual/FeedbackPhaser/FeedbackPhaser_ja.html usr/share/doc/uhhyou-plugins/manual/FeedbackPhaser/img/ usr/share/doc/uhhyou-plugins/manual/FeedbackPhaser/img/FeedbackPhaser.png usr/share/doc/uhhyou-plugins/manual/FeedbackPhaser/img/FeedbackPhaser.svg usr/share/doc/uhhyou-plugins/manual/FeedbackPhaser/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/GenericDrum/ usr/share/doc/uhhyou-plugins/manual/GenericDrum/GenericDrum_en.html usr/share/doc/uhhyou-plugins/manual/GenericDrum/GenericDrum_ja.html usr/share/doc/uhhyou-plugins/manual/GenericDrum/img/ usr/share/doc/uhhyou-plugins/manual/GenericDrum/img/GenericDrum.png usr/share/doc/uhhyou-plugins/manual/GenericDrum/img/GenericDrum.svg usr/share/doc/uhhyou-plugins/manual/GenericDrum/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/GlitchSprinkler/ usr/share/doc/uhhyou-plugins/manual/GlitchSprinkler/GlitchSprinkler_en.html usr/share/doc/uhhyou-plugins/manual/GlitchSprinkler/GlitchSprinkler_ja.html usr/share/doc/uhhyou-plugins/manual/GlitchSprinkler/img/ usr/share/doc/uhhyou-plugins/manual/GlitchSprinkler/img/GlitchSprinkler.png usr/share/doc/uhhyou-plugins/manual/GlitchSprinkler/img/GlitchSprinkler.svg usr/share/doc/uhhyou-plugins/manual/GlitchSprinkler/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/IterativeSinCluster/ usr/share/doc/uhhyou-plugins/manual/IterativeSinCluster/img/ usr/share/doc/uhhyou-plugins/manual/IterativeSinCluster/img/IterativeSinCluster.png usr/share/doc/uhhyou-plugins/manual/IterativeSinCluster/img/IterativeSinCluster.svg usr/share/doc/uhhyou-plugins/manual/IterativeSinCluster/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/IterativeSinCluster/IterativeSinCluster_en.html usr/share/doc/uhhyou-plugins/manual/IterativeSinCluster/IterativeSinCluster_ja.html usr/share/doc/uhhyou-plugins/manual/L4Reverb/ usr/share/doc/uhhyou-plugins/manual/L4Reverb/img/ usr/share/doc/uhhyou-plugins/manual/L4Reverb/img/L4Reverb.png usr/share/doc/uhhyou-plugins/manual/L4Reverb/img/L4Reverb.svg usr/share/doc/uhhyou-plugins/manual/L4Reverb/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/L4Reverb/L4Reverb_en.html usr/share/doc/uhhyou-plugins/manual/L4Reverb/L4Reverb_ja.html usr/share/doc/uhhyou-plugins/manual/LatticeReverb/ usr/share/doc/uhhyou-plugins/manual/LatticeReverb/img/ usr/share/doc/uhhyou-plugins/manual/LatticeReverb/img/LatticeReverb_modulation_tab.png usr/share/doc/uhhyou-plugins/manual/LatticeReverb/img/LatticeReverb_offset_tab.png usr/share/doc/uhhyou-plugins/manual/LatticeReverb/img/LatticeReverb.png usr/share/doc/uhhyou-plugins/manual/LatticeReverb/img/LatticeReverb.svg usr/share/doc/uhhyou-plugins/manual/LatticeReverb/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/LatticeReverb/LatticeReverb_en.html usr/share/doc/uhhyou-plugins/manual/LatticeReverb/LatticeReverb_ja.html usr/share/doc/uhhyou-plugins/manual/LightPadSynth/ usr/share/doc/uhhyou-plugins/manual/LightPadSynth/img/ usr/share/doc/uhhyou-plugins/manual/LightPadSynth/img/comb.png usr/share/doc/uhhyou-plugins/manual/LightPadSynth/img/expand.svg usr/share/doc/uhhyou-plugins/manual/LightPadSynth/img/interpolation_type.png usr/share/doc/uhhyou-plugins/manual/LightPadSynth/img/LightPadSynth_wavetable_tab.png usr/share/doc/uhhyou-plugins/manual/LightPadSynth/img/LightPadSynth.png usr/share/doc/uhhyou-plugins/manual/LightPadSynth/img/LightPadSynth.svg usr/share/doc/uhhyou-plugins/manual/LightPadSynth/img/shift.svg usr/share/doc/uhhyou-plugins/manual/LightPadSynth/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/LightPadSynth/LightPadSynth_en.html usr/share/doc/uhhyou-plugins/manual/LightPadSynth/LightPadSynth_ja.html usr/share/doc/uhhyou-plugins/manual/LongPhaser/ usr/share/doc/uhhyou-plugins/manual/LongPhaser/img/ usr/share/doc/uhhyou-plugins/manual/LongPhaser/img/LongPhaser.png usr/share/doc/uhhyou-plugins/manual/LongPhaser/img/LongPhaser.svg usr/share/doc/uhhyou-plugins/manual/LongPhaser/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/LongPhaser/LongPhaser_en.html usr/share/doc/uhhyou-plugins/manual/LongPhaser/LongPhaser_ja.html usr/share/doc/uhhyou-plugins/manual/MaybeSnare/ usr/share/doc/uhhyou-plugins/manual/MaybeSnare/img/ usr/share/doc/uhhyou-plugins/manual/MaybeSnare/img/MaybeSnare.png usr/share/doc/uhhyou-plugins/manual/MaybeSnare/img/MaybeSnare.svg usr/share/doc/uhhyou-plugins/manual/MaybeSnare/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/MaybeSnare/MaybeSnare_en.html usr/share/doc/uhhyou-plugins/manual/MaybeSnare/MaybeSnare_ja.html usr/share/doc/uhhyou-plugins/manual/MembraneSynth/ usr/share/doc/uhhyou-plugins/manual/MembraneSynth/img/ usr/share/doc/uhhyou-plugins/manual/MembraneSynth/img/MembraneSynth.png usr/share/doc/uhhyou-plugins/manual/MembraneSynth/img/MembraneSynth.svg usr/share/doc/uhhyou-plugins/manual/MembraneSynth/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/MembraneSynth/MembraneSynth_en.html usr/share/doc/uhhyou-plugins/manual/MembraneSynth/MembraneSynth_ja.html usr/share/doc/uhhyou-plugins/manual/MiniCliffEQ/ usr/share/doc/uhhyou-plugins/manual/MiniCliffEQ/img/ usr/share/doc/uhhyou-plugins/manual/MiniCliffEQ/img/MiniCliffEQ.png usr/share/doc/uhhyou-plugins/manual/MiniCliffEQ/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/MiniCliffEQ/MiniCliffEQ_en.html usr/share/doc/uhhyou-plugins/manual/MiniCliffEQ/MiniCliffEQ_ja.html usr/share/doc/uhhyou-plugins/manual/NarrowingDelay/ usr/share/doc/uhhyou-plugins/manual/NarrowingDelay/img/ usr/share/doc/uhhyou-plugins/manual/NarrowingDelay/img/NarrowingDelay.png usr/share/doc/uhhyou-plugins/manual/NarrowingDelay/img/NarrowingDelay.svg usr/share/doc/uhhyou-plugins/manual/NarrowingDelay/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/NarrowingDelay/NarrowingDelay_en.html usr/share/doc/uhhyou-plugins/manual/NarrowingDelay/NarrowingDelay_ja.html usr/share/doc/uhhyou-plugins/manual/OrdinaryPhaser/ usr/share/doc/uhhyou-plugins/manual/OrdinaryPhaser/img/ usr/share/doc/uhhyou-plugins/manual/OrdinaryPhaser/img/OrdinaryPhaser.png usr/share/doc/uhhyou-plugins/manual/OrdinaryPhaser/img/OrdinaryPhaser.svg usr/share/doc/uhhyou-plugins/manual/OrdinaryPhaser/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/OrdinaryPhaser/OrdinaryPhaser_en.html usr/share/doc/uhhyou-plugins/manual/OrdinaryPhaser/OrdinaryPhaser_ja.html usr/share/doc/uhhyou-plugins/manual/ParallelComb/ usr/share/doc/uhhyou-plugins/manual/ParallelComb/img/ usr/share/doc/uhhyou-plugins/manual/ParallelComb/img/ParallelComb.png usr/share/doc/uhhyou-plugins/manual/ParallelComb/img/ParallelComb.svg usr/share/doc/uhhyou-plugins/manual/ParallelComb/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/ParallelComb/ParallelComb_en.html usr/share/doc/uhhyou-plugins/manual/ParallelComb/ParallelComb_ja.html usr/share/doc/uhhyou-plugins/manual/ParallelDetune/ usr/share/doc/uhhyou-plugins/manual/ParallelDetune/img/ usr/share/doc/uhhyou-plugins/manual/ParallelDetune/img/ParallelDetune.png usr/share/doc/uhhyou-plugins/manual/ParallelDetune/img/ParallelDetune.svg usr/share/doc/uhhyou-plugins/manual/ParallelDetune/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/ParallelDetune/ParallelDetune_en.html usr/share/doc/uhhyou-plugins/manual/ParallelDetune/ParallelDetune_ja.html usr/share/doc/uhhyou-plugins/manual/PitchShiftDelay/ usr/share/doc/uhhyou-plugins/manual/PitchShiftDelay/img/ usr/share/doc/uhhyou-plugins/manual/PitchShiftDelay/img/PitchShiftDelay.png usr/share/doc/uhhyou-plugins/manual/PitchShiftDelay/img/PitchShiftDelay.svg usr/share/doc/uhhyou-plugins/manual/PitchShiftDelay/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/PitchShiftDelay/PitchShiftDelay_en.html usr/share/doc/uhhyou-plugins/manual/PitchShiftDelay/PitchShiftDelay_ja.html usr/share/doc/uhhyou-plugins/manual/RingModSpacer/ usr/share/doc/uhhyou-plugins/manual/RingModSpacer/img/ usr/share/doc/uhhyou-plugins/manual/RingModSpacer/img/RingModSpacer.png usr/share/doc/uhhyou-plugins/manual/RingModSpacer/img/RingModSpacer.svg usr/share/doc/uhhyou-plugins/manual/RingModSpacer/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/RingModSpacer/RingModSpacer_en.html usr/share/doc/uhhyou-plugins/manual/RingModSpacer/RingModSpacer_ja.html usr/share/doc/uhhyou-plugins/manual/SevenDelay/ usr/share/doc/uhhyou-plugins/manual/SevenDelay/img/ usr/share/doc/uhhyou-plugins/manual/SevenDelay/img/SevenDelay.png usr/share/doc/uhhyou-plugins/manual/SevenDelay/img/SevenDelay.svg usr/share/doc/uhhyou-plugins/manual/SevenDelay/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/SevenDelay/SevenDelay_en.html usr/share/doc/uhhyou-plugins/manual/SevenDelay/SevenDelay_ja.html usr/share/doc/uhhyou-plugins/manual/SyncSawSynth/ usr/share/doc/uhhyou-plugins/manual/SyncSawSynth/img/ usr/share/doc/uhhyou-plugins/manual/SyncSawSynth/img/SyncSawSynth.png usr/share/doc/uhhyou-plugins/manual/SyncSawSynth/img/SyncSawSynth.svg usr/share/doc/uhhyou-plugins/manual/SyncSawSynth/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/SyncSawSynth/SyncSawSynth_en.html usr/share/doc/uhhyou-plugins/manual/SyncSawSynth/SyncSawSynth_ja.html usr/share/doc/uhhyou-plugins/manual/TrapezoidSynth/ usr/share/doc/uhhyou-plugins/manual/TrapezoidSynth/img/ usr/share/doc/uhhyou-plugins/manual/TrapezoidSynth/img/TrapezoidSynth.png usr/share/doc/uhhyou-plugins/manual/TrapezoidSynth/img/TrapezoidSynth.svg usr/share/doc/uhhyou-plugins/manual/TrapezoidSynth/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/TrapezoidSynth/TrapezoidSynth_en.html usr/share/doc/uhhyou-plugins/manual/TrapezoidSynth/TrapezoidSynth_ja.html usr/share/doc/uhhyou-plugins/manual/UltrasonicRingMod/ usr/share/doc/uhhyou-plugins/manual/UltrasonicRingMod/img/ usr/share/doc/uhhyou-plugins/manual/UltrasonicRingMod/img/UltrasonicRingMod.png usr/share/doc/uhhyou-plugins/manual/UltrasonicRingMod/img/UltrasonicRingMod.svg usr/share/doc/uhhyou-plugins/manual/UltrasonicRingMod/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/UltrasonicRingMod/UltrasonicRingMod_en.html usr/share/doc/uhhyou-plugins/manual/UltrasonicRingMod/UltrasonicRingMod_ja.html usr/share/doc/uhhyou-plugins/manual/UltraSynth/ usr/share/doc/uhhyou-plugins/manual/UltraSynth/img/ usr/share/doc/uhhyou-plugins/manual/UltraSynth/img/UltraSynth.png usr/share/doc/uhhyou-plugins/manual/UltraSynth/img/UltraSynth.svg usr/share/doc/uhhyou-plugins/manual/UltraSynth/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/UltraSynth/UltraSynth_en.html usr/share/doc/uhhyou-plugins/manual/UltraSynth/UltraSynth_ja.html usr/share/doc/uhhyou-plugins/manual/WaveCymbal/ usr/share/doc/uhhyou-plugins/manual/WaveCymbal/img/ usr/share/doc/uhhyou-plugins/manual/WaveCymbal/img/VST_Compatible_Logo_Steinberg_negative.svg usr/share/doc/uhhyou-plugins/manual/WaveCymbal/img/WaveCymbal_web.svg usr/share/doc/uhhyou-plugins/manual/WaveCymbal/img/WaveCymbal.png usr/share/doc/uhhyou-plugins/manual/WaveCymbal/img/WaveCymbal.svg usr/share/doc/uhhyou-plugins/manual/WaveCymbal/WaveCymbal_en.html usr/share/doc/uhhyou-plugins/manual/WaveCymbal/WaveCymbal_ja.html usr/share/doc/uhhyou-plugins/template.html
aarch64vcf-lv20.0.2-3VCF LV2 plugins
Close

vcf-lv2 0.0.2-3


Architecture:aarch64
Base Package:vcf-lv2 (PKGBUILD)
Description:VCF LV2 plugins
Upstream URL:https://github.com/linuxmao-org/vcf-lv2
Groups:lv2-plugins
pro-audio
Download Size:20.6 kB (Download)
Installed Size:127.5 kB
License:GPL2
Build Date:2022-07-06 20:06 UTC
Dependencies:glibc
lv2-host: for running the plugins (optional)
lv2 (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/bandpass1-vcf.lv2/ usr/lib/lv2/bandpass1-vcf.lv2/bandpass1-Linux.so usr/lib/lv2/bandpass1-vcf.lv2/bandpass1.ttl usr/lib/lv2/bandpass1-vcf.lv2/manifest.ttl usr/lib/lv2/bandpass2-vcf.lv2/ usr/lib/lv2/bandpass2-vcf.lv2/bandpass2-Linux.so usr/lib/lv2/bandpass2-vcf.lv2/bandpass2.ttl usr/lib/lv2/bandpass2-vcf.lv2/manifest.ttl usr/lib/lv2/high_shelf-vcf.lv2/ usr/lib/lv2/high_shelf-vcf.lv2/high_shelf-Linux.so usr/lib/lv2/high_shelf-vcf.lv2/high_shelf.ttl usr/lib/lv2/high_shelf-vcf.lv2/manifest.ttl usr/lib/lv2/highpass-vcf.lv2/ usr/lib/lv2/highpass-vcf.lv2/highpass-Linux.so usr/lib/lv2/highpass-vcf.lv2/highpass.ttl usr/lib/lv2/highpass-vcf.lv2/manifest.ttl usr/lib/lv2/low_shelf-vcf.lv2/ usr/lib/lv2/low_shelf-vcf.lv2/low_shelf-Linux.so usr/lib/lv2/low_shelf-vcf.lv2/low_shelf.ttl usr/lib/lv2/low_shelf-vcf.lv2/manifest.ttl usr/lib/lv2/lowpass-vcf.lv2/ usr/lib/lv2/lowpass-vcf.lv2/lowpass-Linux.so usr/lib/lv2/lowpass-vcf.lv2/lowpass.ttl usr/lib/lv2/lowpass-vcf.lv2/manifest.ttl usr/lib/lv2/notch-vcf.lv2/ usr/lib/lv2/notch-vcf.lv2/manifest.ttl usr/lib/lv2/notch-vcf.lv2/notch-Linux.so usr/lib/lv2/notch-vcf.lv2/notch.ttl usr/lib/lv2/peak_eq-vcf.lv2/ usr/lib/lv2/peak_eq-vcf.lv2/manifest.ttl usr/lib/lv2/peak_eq-vcf.lv2/peak_eq-Linux.so usr/lib/lv2/peak_eq-vcf.lv2/peak_eq.ttl usr/lib/lv2/resonant_lowpass-vcf.lv2/ usr/lib/lv2/resonant_lowpass-vcf.lv2/manifest.ttl usr/lib/lv2/resonant_lowpass-vcf.lv2/resonant_lowpass-Linux.so usr/lib/lv2/resonant_lowpass-vcf.lv2/resonant_lowpass.ttl
x86_64vcf-lv20.0.2-3VCF LV2 plugins
Close

vcf-lv2 0.0.2-3


Architecture:x86_64
Base Package:vcf-lv2 (PKGBUILD)
Description:VCF LV2 plugins
Upstream URL:https://github.com/linuxmao-org/vcf-lv2
Groups:lv2-plugins
pro-audio
Download Size:28.1 kB (Download)
Installed Size:200.7 kB
License:GPL2
Build Date:2022-07-06 20:06 UTC
Dependencies:glibc
lv2-host: for running the plugins (optional)
lv2 (make)
lv2lint (check)
Package Contents
usr/ usr/lib/ usr/lib/lv2/ usr/lib/lv2/bandpass1-vcf.lv2/ usr/lib/lv2/bandpass1-vcf.lv2/bandpass1-Linux.so usr/lib/lv2/bandpass1-vcf.lv2/bandpass1.ttl usr/lib/lv2/bandpass1-vcf.lv2/manifest.ttl usr/lib/lv2/bandpass2-vcf.lv2/ usr/lib/lv2/bandpass2-vcf.lv2/bandpass2-Linux.so usr/lib/lv2/bandpass2-vcf.lv2/bandpass2.ttl usr/lib/lv2/bandpass2-vcf.lv2/manifest.ttl usr/lib/lv2/high_shelf-vcf.lv2/ usr/lib/lv2/high_shelf-vcf.lv2/high_shelf-Linux.so usr/lib/lv2/high_shelf-vcf.lv2/high_shelf.ttl usr/lib/lv2/high_shelf-vcf.lv2/manifest.ttl usr/lib/lv2/highpass-vcf.lv2/ usr/lib/lv2/highpass-vcf.lv2/highpass-Linux.so usr/lib/lv2/highpass-vcf.lv2/highpass.ttl usr/lib/lv2/highpass-vcf.lv2/manifest.ttl usr/lib/lv2/low_shelf-vcf.lv2/ usr/lib/lv2/low_shelf-vcf.lv2/low_shelf-Linux.so usr/lib/lv2/low_shelf-vcf.lv2/low_shelf.ttl usr/lib/lv2/low_shelf-vcf.lv2/manifest.ttl usr/lib/lv2/lowpass-vcf.lv2/ usr/lib/lv2/lowpass-vcf.lv2/lowpass-Linux.so usr/lib/lv2/lowpass-vcf.lv2/lowpass.ttl usr/lib/lv2/lowpass-vcf.lv2/manifest.ttl usr/lib/lv2/notch-vcf.lv2/ usr/lib/lv2/notch-vcf.lv2/manifest.ttl usr/lib/lv2/notch-vcf.lv2/notch-Linux.so usr/lib/lv2/notch-vcf.lv2/notch.ttl usr/lib/lv2/peak_eq-vcf.lv2/ usr/lib/lv2/peak_eq-vcf.lv2/manifest.ttl usr/lib/lv2/peak_eq-vcf.lv2/peak_eq-Linux.so usr/lib/lv2/peak_eq-vcf.lv2/peak_eq.ttl usr/lib/lv2/resonant_lowpass-vcf.lv2/ usr/lib/lv2/resonant_lowpass-vcf.lv2/manifest.ttl usr/lib/lv2/resonant_lowpass-vcf.lv2/resonant_lowpass-Linux.so usr/lib/lv2/resonant_lowpass-vcf.lv2/resonant_lowpass.ttl
aarch64vcvrack2.5.2-2Open-source Eurorack modular synthesizer simulator
Close

vcvrack 2.5.2-2


Architecture:aarch64
Base Package:vcvrack (PKGBUILD)
Description:Open-source Eurorack modular synthesizer simulator
Upstream URL:https://vcvrack.com/
Groups:pro-audio
Provides:vcvrack-fundamental=2.6.0
Conflicts:vcvrack-fundamental
Download Size:2.2 MB (Download)
Installed Size:10.3 MB
Licenses:custom
GPL-3.0-or-later
Build Date:2024-05-15 06:14 UTC
Dependencies:glfw
jansson
libarchive.so=13-64
libcrypto.so=3-64
libcurl.so=4-64
libGLEW.so=2.2-64
librtmidi.so=7-64
libsamplerate.so=0-64
libspeexdsp.so=1-64
zenity
alsa-lib (make)
cmake (make)
curl (make)
gendesk (make)
git (make)
glew (make)
jack (make)
jq (make)
libarchive (make)
libpulse (make)
openssl (make)
rtmidi (make)
simde (make)
speexdsp (make)
zstd (make)
Package Contents
etc/ etc/profile.d/ etc/profile.d/vcvrack.sh usr/ usr/bin/ usr/bin/vcvrack usr/include/ usr/include/vcvrack/ usr/include/vcvrack/app/ usr/include/vcvrack/app/AudioDisplay.hpp usr/include/vcvrack/app/Browser.hpp usr/include/vcvrack/app/CableWidget.hpp usr/include/vcvrack/app/CircularShadow.hpp usr/include/vcvrack/app/common.hpp usr/include/vcvrack/app/Knob.hpp usr/include/vcvrack/app/LedDisplay.hpp usr/include/vcvrack/app/LightWidget.hpp usr/include/vcvrack/app/MenuBar.hpp usr/include/vcvrack/app/MidiDisplay.hpp usr/include/vcvrack/app/ModuleLightWidget.hpp usr/include/vcvrack/app/ModuleWidget.hpp usr/include/vcvrack/app/MultiLightWidget.hpp usr/include/vcvrack/app/ParamWidget.hpp usr/include/vcvrack/app/PortWidget.hpp usr/include/vcvrack/app/RackScrollWidget.hpp usr/include/vcvrack/app/RackWidget.hpp usr/include/vcvrack/app/RailWidget.hpp usr/include/vcvrack/app/Scene.hpp usr/include/vcvrack/app/SliderKnob.hpp usr/include/vcvrack/app/SvgButton.hpp usr/include/vcvrack/app/SvgKnob.hpp usr/include/vcvrack/app/SvgPanel.hpp usr/include/vcvrack/app/SvgPort.hpp usr/include/vcvrack/app/SvgScrew.hpp usr/include/vcvrack/app/SvgSlider.hpp usr/include/vcvrack/app/SvgSwitch.hpp usr/include/vcvrack/app/Switch.hpp usr/include/vcvrack/app/TipWindow.hpp usr/include/vcvrack/arch.hpp usr/include/vcvrack/asset.hpp usr/include/vcvrack/audio.hpp usr/include/vcvrack/color.hpp usr/include/vcvrack/common.hpp usr/include/vcvrack/componentlibrary.hpp usr/include/vcvrack/context.hpp usr/include/vcvrack/dep/ usr/include/vcvrack/dep/blendish.h usr/include/vcvrack/dep/fftpack.h usr/include/vcvrack/dep/fontstash.h usr/include/vcvrack/dep/nanosvg.h usr/include/vcvrack/dep/nanosvgrast.h usr/include/vcvrack/dep/nanovg_gl_utils.h usr/include/vcvrack/dep/nanovg_gl.h usr/include/vcvrack/dep/nanovg.h usr/include/vcvrack/dep/osdialog.h usr/include/vcvrack/dep/oui.h usr/include/vcvrack/dep/pffft.h usr/include/vcvrack/dep/stb_image_write.h usr/include/vcvrack/dep/stb_image.h usr/include/vcvrack/dep/stb_truetype.h usr/include/vcvrack/dep/tinyexpr.h usr/include/vcvrack/dsp/ usr/include/vcvrack/dsp/approx.hpp usr/include/vcvrack/dsp/common.hpp usr/include/vcvrack/dsp/convert.hpp usr/include/vcvrack/dsp/digital.hpp usr/include/vcvrack/dsp/fft.hpp usr/include/vcvrack/dsp/filter.hpp usr/include/vcvrack/dsp/fir.hpp usr/include/vcvrack/dsp/midi.hpp usr/include/vcvrack/dsp/minblep.hpp usr/include/vcvrack/dsp/ode.hpp usr/include/vcvrack/dsp/resampler.hpp usr/include/vcvrack/dsp/ringbuffer.hpp usr/include/vcvrack/dsp/vumeter.hpp usr/include/vcvrack/dsp/window.hpp usr/include/vcvrack/engine/ usr/include/vcvrack/engine/Cable.hpp usr/include/vcvrack/engine/Engine.hpp usr/include/vcvrack/engine/Light.hpp usr/include/vcvrack/engine/LightInfo.hpp usr/include/vcvrack/engine/Module.hpp usr/include/vcvrack/engine/Param.hpp usr/include/vcvrack/engine/ParamHandle.hpp usr/include/vcvrack/engine/ParamQuantity.hpp usr/include/vcvrack/engine/Port.hpp usr/include/vcvrack/engine/PortInfo.hpp usr/include/vcvrack/gamepad.hpp usr/include/vcvrack/helpers.hpp usr/include/vcvrack/history.hpp usr/include/vcvrack/keyboard.hpp usr/include/vcvrack/library.hpp usr/include/vcvrack/logger.hpp usr/include/vcvrack/math.hpp usr/include/vcvrack/midi.hpp usr/include/vcvrack/midiloopback.hpp usr/include/vcvrack/mutex.hpp usr/include/vcvrack/network.hpp usr/include/vcvrack/patch.hpp usr/include/vcvrack/plugin.hpp usr/include/vcvrack/plugin/ usr/include/vcvrack/plugin/callbacks.hpp usr/include/vcvrack/plugin/Model.hpp usr/include/vcvrack/plugin/Plugin.hpp usr/include/vcvrack/Quantity.hpp usr/include/vcvrack/rack.hpp usr/include/vcvrack/rack0.hpp usr/include/vcvrack/random.hpp usr/include/vcvrack/rtaudio.hpp usr/include/vcvrack/rtmidi.hpp usr/include/vcvrack/settings.hpp usr/include/vcvrack/simd/ usr/include/vcvrack/simd/common.hpp usr/include/vcvrack/simd/functions.hpp usr/include/vcvrack/simd/sse_mathfun_extension.h usr/include/vcvrack/simd/sse_mathfun.h usr/include/vcvrack/simd/Vector.hpp usr/include/vcvrack/string.hpp usr/include/vcvrack/system.hpp usr/include/vcvrack/tag.hpp usr/include/vcvrack/ui/ usr/include/vcvrack/ui/Button.hpp usr/include/vcvrack/ui/ChoiceButton.hpp usr/include/vcvrack/ui/common.hpp usr/include/vcvrack/ui/Label.hpp usr/include/vcvrack/ui/List.hpp usr/include/vcvrack/ui/Menu.hpp usr/include/vcvrack/ui/MenuEntry.hpp usr/include/vcvrack/ui/MenuItem.hpp usr/include/vcvrack/ui/MenuLabel.hpp usr/include/vcvrack/ui/MenuOverlay.hpp usr/include/vcvrack/ui/MenuSeparator.hpp usr/include/vcvrack/ui/OptionButton.hpp usr/include/vcvrack/ui/ProgressBar.hpp usr/include/vcvrack/ui/RadioButton.hpp usr/include/vcvrack/ui/Scrollbar.hpp usr/include/vcvrack/ui/ScrollWidget.hpp usr/include/vcvrack/ui/SequentialLayout.hpp usr/include/vcvrack/ui/Slider.hpp usr/include/vcvrack/ui/TextField.hpp usr/include/vcvrack/ui/Tooltip.hpp usr/include/vcvrack/ui/TooltipOverlay.hpp usr/include/vcvrack/weakptr.hpp usr/include/vcvrack/widget/ usr/include/vcvrack/widget/event.hpp usr/include/vcvrack/widget/FramebufferWidget.hpp usr/include/vcvrack/widget/OpaqueWidget.hpp usr/include/vcvrack/widget/OpenGlWidget.hpp usr/include/vcvrack/widget/SvgWidget.hpp usr/include/vcvrack/widget/TransformWidget.hpp usr/include/vcvrack/widget/TransparentWidget.hpp usr/include/vcvrack/widget/Widget.hpp usr/include/vcvrack/widget/ZoomWidget.hpp usr/include/vcvrack/window/ usr/include/vcvrack/window/Svg.hpp usr/include/vcvrack/window/Window.hpp usr/lib/ usr/lib/libRack.so usr/lib/vcvrack/ usr/lib/vcvrack/cacert.pem usr/lib/vcvrack/Core.json usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/Fundamental/ usr/lib/vcvrack/plugins/Fundamental/LICENSE-GPLv3.txt usr/lib/vcvrack/plugins/Fundamental/LICENSE.md usr/lib/vcvrack/plugins/Fundamental/plugin.json usr/lib/vcvrack/plugins/Fundamental/plugin.so usr/lib/vcvrack/plugins/Fundamental/presets/ usr/lib/vcvrack/plugins/Fundamental/presets/CVMix/ usr/lib/vcvrack/plugins/Fundamental/presets/CVMix/Unity mix.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Mutes/ usr/lib/vcvrack/plugins/Fundamental/presets/Mutes/Mute all.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/ usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/00_Ionian (Major).vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/01_Dorian.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/02_Phrygian.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/03_Lydian.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/04_Mixolydian.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/05_Aeolian (Minor).vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/06_Locrian.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/07_Aeolian 7 (Harmonic Minor).vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/08_Locrian 6.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/09_Ionian #5.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/10_Dorian #4.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/11_Phrygian 3.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/12_Lydian #2.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/13_Locrian b4 bb7.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/14_Aeolian 6 7 (Melodic Minor).vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/15_Phrygian 6.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/16_Lydian #5.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/17_Lydian b7.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/18_Aeolian 3.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/19_Locrian 2.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/20_Locrian b4.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/21_Bebop Dominant.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/22_Bebop Major.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/23_Bebop Minor.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/24_Bebop Melodic Minor.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/25_Blues Major.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/26_Blues Minor.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/27_Blues Diminished.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/28_Blues Pentatonic.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/29_Blues Rock'n'Roll.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/30_Byzantine.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/31_Hungarian Minor.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/32_Hungarian Gypsy.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/33_Spanish Gypsy.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/34_Major Pentatonic.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/35_Neutral Pentatonic.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/36_Rock Pentatonic.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/37_Scottish Pentatonic.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/38_Minor Pentatonic.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/39_Whole.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/40_Whole-Half.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/41_Half-Whole.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/42_Augmented.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/43_Byzantine.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/44_Chromatic.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/45_Enigmatic (Ascending).vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/46_Enigmatic (Descending).vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/47_Hungarian Major.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/48_Hungarian Minor.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/49_Neapolitan Major.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/50_Neapolitan Minor.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/51_Overtone.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/52_Prometheus.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/53_Prometheus Neapolitan.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/54_Spanish 8 Tone.vcvm usr/lib/vcvrack/plugins/Fundamental/res/ usr/lib/vcvrack/plugins/Fundamental/res/8vert-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/8vert.svg usr/lib/vcvrack/plugins/Fundamental/res/ADSR-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/ADSR.svg usr/lib/vcvrack/plugins/Fundamental/res/Compare-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Compare.svg usr/lib/vcvrack/plugins/Fundamental/res/CVMix-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/CVMix.svg usr/lib/vcvrack/plugins/Fundamental/res/Delay-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Delay.svg usr/lib/vcvrack/plugins/Fundamental/res/Fade-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Fade.svg usr/lib/vcvrack/plugins/Fundamental/res/Gates-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Gates.svg usr/lib/vcvrack/plugins/Fundamental/res/LFO-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/LFO.svg usr/lib/vcvrack/plugins/Fundamental/res/Logic-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Logic.svg usr/lib/vcvrack/plugins/Fundamental/res/Merge-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Merge.svg usr/lib/vcvrack/plugins/Fundamental/res/MidSide-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/MidSide.svg usr/lib/vcvrack/plugins/Fundamental/res/Mixer-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Mixer.svg usr/lib/vcvrack/plugins/Fundamental/res/Mult-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Mult.svg usr/lib/vcvrack/plugins/Fundamental/res/Mutes-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Mutes.svg usr/lib/vcvrack/plugins/Fundamental/res/Noise-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Noise.svg usr/lib/vcvrack/plugins/Fundamental/res/Octave-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Octave.svg usr/lib/vcvrack/plugins/Fundamental/res/Process-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Process.svg usr/lib/vcvrack/plugins/Fundamental/res/Pulses-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Pulses.svg usr/lib/vcvrack/plugins/Fundamental/res/Push-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Push.svg usr/lib/vcvrack/plugins/Fundamental/res/Quantizer-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Quantizer.svg usr/lib/vcvrack/plugins/Fundamental/res/Random-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Random.svg usr/lib/vcvrack/plugins/Fundamental/res/RandomValues-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/RandomValues.svg usr/lib/vcvrack/plugins/Fundamental/res/Rescale-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Rescale.svg usr/lib/vcvrack/plugins/Fundamental/res/Scope-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Scope.svg usr/lib/vcvrack/plugins/Fundamental/res/SEQ3-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/SEQ3.svg usr/lib/vcvrack/plugins/Fundamental/res/SequentialSwitch1-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/SequentialSwitch1.svg usr/lib/vcvrack/plugins/Fundamental/res/SequentialSwitch2-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/SequentialSwitch2.svg usr/lib/vcvrack/plugins/Fundamental/res/SHASR-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/SHASR.svg usr/lib/vcvrack/plugins/Fundamental/res/Split-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Split.svg usr/lib/vcvrack/plugins/Fundamental/res/Sum-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Sum.svg usr/lib/vcvrack/plugins/Fundamental/res/Unity.svg usr/lib/vcvrack/plugins/Fundamental/res/VCA-1-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/VCA-1.svg usr/lib/vcvrack/plugins/Fundamental/res/VCA.svg usr/lib/vcvrack/plugins/Fundamental/res/VCF-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/VCF.svg usr/lib/vcvrack/plugins/Fundamental/res/VCMixer-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/VCMixer.svg usr/lib/vcvrack/plugins/Fundamental/res/VCO-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/VCO.svg usr/lib/vcvrack/plugins/Fundamental/res/VCVBezelBig.svg usr/lib/vcvrack/plugins/Fundamental/res/Viz-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Viz.svg usr/lib/vcvrack/plugins/Fundamental/res/WTLFO-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/WTLFO.svg usr/lib/vcvrack/plugins/Fundamental/res/WTVCO-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/WTVCO.svg usr/lib/vcvrack/Rack usr/lib/vcvrack/res/ usr/lib/vcvrack/res/ComponentLibrary/ usr/lib/vcvrack/res/ComponentLibrary/ADAT.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoBigKnob_bg.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoBigKnob.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoPush_0.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoPush_1.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoSlidePot.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoSlidePotHandle.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoSwitch_0.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoSwitch_1.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoSwitch_2.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoSwitch.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoTinyKnobWhite_bg.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoTinyPointBlack.svg usr/lib/vcvrack/res/ComponentLibrary/CKD6_0.svg usr/lib/vcvrack/res/ComponentLibrary/CKD6_1.svg usr/lib/vcvrack/res/ComponentLibrary/CKSS_0.svg usr/lib/vcvrack/res/ComponentLibrary/CKSS_1.svg usr/lib/vcvrack/res/ComponentLibrary/CKSSThree_0.svg usr/lib/vcvrack/res/ComponentLibrary/CKSSThree_1.svg usr/lib/vcvrack/res/ComponentLibrary/CKSSThree_2.svg usr/lib/vcvrack/res/ComponentLibrary/CKSSThreeHorizontal_0.svg usr/lib/vcvrack/res/ComponentLibrary/CKSSThreeHorizontal_1.svg usr/lib/vcvrack/res/ComponentLibrary/CKSSThreeHorizontal_2.svg usr/lib/vcvrack/res/ComponentLibrary/CL1362.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hBlack_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hBlack.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hLargeBlack_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hLargeBlack.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hLargeRed_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hLargeRed.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hLargeWhite_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hLargeWhite.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hRed_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hRed.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hWhite_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hWhite.svg usr/lib/vcvrack/res/ComponentLibrary/LargeLight.svg usr/lib/vcvrack/res/ComponentLibrary/MediumLight.svg usr/lib/vcvrack/res/ComponentLibrary/MIDI_DIN.svg usr/lib/vcvrack/res/ComponentLibrary/NKK_0.svg usr/lib/vcvrack/res/ComponentLibrary/NKK_1.svg usr/lib/vcvrack/res/ComponentLibrary/NKK_2.svg usr/lib/vcvrack/res/ComponentLibrary/PB61303.svg usr/lib/vcvrack/res/ComponentLibrary/PJ301M-dark.svg usr/lib/vcvrack/res/ComponentLibrary/PJ301M.svg usr/lib/vcvrack/res/ComponentLibrary/PJ3410.svg usr/lib/vcvrack/res/ComponentLibrary/Plug.svg usr/lib/vcvrack/res/ComponentLibrary/PlugPort.svg usr/lib/vcvrack/res/ComponentLibrary/Rail-hcdark.svg usr/lib/vcvrack/res/ComponentLibrary/Rail-light.svg usr/lib/vcvrack/res/ComponentLibrary/Rail.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1P_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PBlue_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PBlue.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PGreen_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PGreen.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PRed_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PRed.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PS_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PSBlue_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PSBlue.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PSGreen_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PSGreen.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PSRed_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PSRed.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PSWhite_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PSWhite.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PWhite_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PWhite.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2P_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PBlue_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PBlue.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PGreen_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PGreen.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PRed_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PRed.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PS_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PSBlue_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PSBlue.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PSGreen_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PSGreen.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PSRed_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PSRed.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PSWhite_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PSWhite.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PWhite_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PWhite.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2S_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2SGray_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2SGray.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3P_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PBlue_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PBlue.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PGreen_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PGreen.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PRed_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PRed.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PS_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PSBlue_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PSBlue.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PSGreen_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PSGreen.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PSRed_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PSRed.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PSWhite_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PSWhite.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PWhite_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PWhite.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan5PS_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan5PSGray_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan5PSGray.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan6PS_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan6PSWhite_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan6PSWhite.svg usr/lib/vcvrack/res/ComponentLibrary/RoundBigBlackKnob_bg.svg usr/lib/vcvrack/res/ComponentLibrary/RoundBigBlackKnob.svg usr/lib/vcvrack/res/ComponentLibrary/RoundBlackKnob_bg.svg usr/lib/vcvrack/res/ComponentLibrary/RoundBlackKnob.svg usr/lib/vcvrack/res/ComponentLibrary/RoundHugeBlackKnob_bg.svg usr/lib/vcvrack/res/ComponentLibrary/RoundHugeBlackKnob.svg usr/lib/vcvrack/res/ComponentLibrary/RoundLargeBlackKnob_bg.svg usr/lib/vcvrack/res/ComponentLibrary/RoundLargeBlackKnob.svg usr/lib/vcvrack/res/ComponentLibrary/RoundSmallBlackKnob_bg.svg usr/lib/vcvrack/res/ComponentLibrary/RoundSmallBlackKnob.svg usr/lib/vcvrack/res/ComponentLibrary/ScrewBlack.svg usr/lib/vcvrack/res/ComponentLibrary/ScrewSilver.svg usr/lib/vcvrack/res/ComponentLibrary/SmallLight.svg usr/lib/vcvrack/res/ComponentLibrary/SynthTechAlco_bg.svg usr/lib/vcvrack/res/ComponentLibrary/SynthTechAlco.svg usr/lib/vcvrack/res/ComponentLibrary/TinyLight.svg usr/lib/vcvrack/res/ComponentLibrary/TL1105_0.svg usr/lib/vcvrack/res/ComponentLibrary/TL1105_1.svg usr/lib/vcvrack/res/ComponentLibrary/Trimpot_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Trimpot.svg usr/lib/vcvrack/res/ComponentLibrary/USB_B.svg usr/lib/vcvrack/res/ComponentLibrary/VCVBezel.svg usr/lib/vcvrack/res/ComponentLibrary/VCVButton_0.svg usr/lib/vcvrack/res/ComponentLibrary/VCVButton_1.svg usr/lib/vcvrack/res/ComponentLibrary/VCVSlider.svg usr/lib/vcvrack/res/ComponentLibrary/VCVSliderHandle.svg usr/lib/vcvrack/res/ComponentLibrary/VCVSliderLight.svg usr/lib/vcvrack/res/Core/ usr/lib/vcvrack/res/Core/Audio16-dark.svg usr/lib/vcvrack/res/Core/Audio16.svg usr/lib/vcvrack/res/Core/Audio2-dark.svg usr/lib/vcvrack/res/Core/Audio2.svg usr/lib/vcvrack/res/Core/Audio8-dark.svg usr/lib/vcvrack/res/Core/Audio8.svg usr/lib/vcvrack/res/Core/CV_MIDI-dark.svg usr/lib/vcvrack/res/Core/CV_MIDI.svg usr/lib/vcvrack/res/Core/CV_MIDICC-dark.svg usr/lib/vcvrack/res/Core/CV_MIDICC.svg usr/lib/vcvrack/res/Core/Gate_MIDI-dark.svg usr/lib/vcvrack/res/Core/Gate_MIDI.svg usr/lib/vcvrack/res/Core/MIDI_CV-dark.svg usr/lib/vcvrack/res/Core/MIDI_CV.svg usr/lib/vcvrack/res/Core/MIDI_Gate-dark.svg usr/lib/vcvrack/res/Core/MIDI_Gate.svg usr/lib/vcvrack/res/Core/MIDICC_CV-dark.svg usr/lib/vcvrack/res/Core/MIDICC_CV.svg usr/lib/vcvrack/res/Core/MIDIMap-dark.svg usr/lib/vcvrack/res/Core/MIDIMap.svg usr/lib/vcvrack/res/Core/Notes-dark.svg usr/lib/vcvrack/res/Core/Notes.svg usr/lib/vcvrack/res/fonts/ usr/lib/vcvrack/res/fonts/DejaVuSans-LICENSE.txt usr/lib/vcvrack/res/fonts/DejaVuSans.ttf usr/lib/vcvrack/res/fonts/DSEG-LICENSE.txt usr/lib/vcvrack/res/fonts/DSEG7ClassicMini-Bold.ttf usr/lib/vcvrack/res/fonts/DSEG7ClassicMini-BoldItalic.ttf usr/lib/vcvrack/res/fonts/DSEG7ClassicMini-Italic.ttf usr/lib/vcvrack/res/fonts/DSEG7ClassicMini-Light.ttf usr/lib/vcvrack/res/fonts/DSEG7ClassicMini-LightItalic.ttf usr/lib/vcvrack/res/fonts/DSEG7ClassicMini-Regular.ttf usr/lib/vcvrack/res/fonts/Nunito-Bold.ttf usr/lib/vcvrack/res/fonts/Nunito-LICENSE.txt usr/lib/vcvrack/res/fonts/ShareTechMono-Regular-LICENSE.txt usr/lib/vcvrack/res/fonts/ShareTechMono-Regular.ttf usr/lib/vcvrack/res/icon.png usr/lib/vcvrack/template.vcv usr/share/ usr/share/applications/ usr/share/applications/vcvrack.desktop usr/share/licenses/ usr/share/licenses/vcvrack/ usr/share/licenses/vcvrack/LICENSE.md usr/share/licenses/vcvrack/trademark.eml usr/share/pixmaps/ usr/share/pixmaps/vcvrack.png usr/share/vcvrack/ usr/share/vcvrack/arch.mk usr/share/vcvrack/compile.mk usr/share/vcvrack/dep.mk usr/share/vcvrack/plugin.mk
x86_64vcvrack2.5.2-2Open-source Eurorack modular synthesizer simulator
Close

vcvrack 2.5.2-2


Architecture:x86_64
Base Package:vcvrack (PKGBUILD)
Description:Open-source Eurorack modular synthesizer simulator
Upstream URL:https://vcvrack.com/
Groups:pro-audio
Provides:vcvrack-fundamental=2.6.0
Conflicts:vcvrack-fundamental
Download Size:2.3 MB (Download)
Installed Size:9.0 MB
Licenses:custom
GPL-3.0-or-later
Build Date:2024-05-15 06:14 UTC
Dependencies:glfw
jansson
libarchive.so=13-64
libcrypto.so=3-64
libcurl.so=4-64
libGLEW.so=2.2-64
librtmidi.so=7-64
libsamplerate.so=0-64
libspeexdsp.so=1-64
zenity
alsa-lib (make)
cmake (make)
curl (make)
gendesk (make)
git (make)
glew (make)
jack (make)
jq (make)
libarchive (make)
libpulse (make)
openssl (make)
rtmidi (make)
simde (make)
speexdsp (make)
zstd (make)
Package Contents
etc/ etc/profile.d/ etc/profile.d/vcvrack.sh usr/ usr/bin/ usr/bin/vcvrack usr/include/ usr/include/vcvrack/ usr/include/vcvrack/app/ usr/include/vcvrack/app/AudioDisplay.hpp usr/include/vcvrack/app/Browser.hpp usr/include/vcvrack/app/CableWidget.hpp usr/include/vcvrack/app/CircularShadow.hpp usr/include/vcvrack/app/common.hpp usr/include/vcvrack/app/Knob.hpp usr/include/vcvrack/app/LedDisplay.hpp usr/include/vcvrack/app/LightWidget.hpp usr/include/vcvrack/app/MenuBar.hpp usr/include/vcvrack/app/MidiDisplay.hpp usr/include/vcvrack/app/ModuleLightWidget.hpp usr/include/vcvrack/app/ModuleWidget.hpp usr/include/vcvrack/app/MultiLightWidget.hpp usr/include/vcvrack/app/ParamWidget.hpp usr/include/vcvrack/app/PortWidget.hpp usr/include/vcvrack/app/RackScrollWidget.hpp usr/include/vcvrack/app/RackWidget.hpp usr/include/vcvrack/app/RailWidget.hpp usr/include/vcvrack/app/Scene.hpp usr/include/vcvrack/app/SliderKnob.hpp usr/include/vcvrack/app/SvgButton.hpp usr/include/vcvrack/app/SvgKnob.hpp usr/include/vcvrack/app/SvgPanel.hpp usr/include/vcvrack/app/SvgPort.hpp usr/include/vcvrack/app/SvgScrew.hpp usr/include/vcvrack/app/SvgSlider.hpp usr/include/vcvrack/app/SvgSwitch.hpp usr/include/vcvrack/app/Switch.hpp usr/include/vcvrack/app/TipWindow.hpp usr/include/vcvrack/arch.hpp usr/include/vcvrack/asset.hpp usr/include/vcvrack/audio.hpp usr/include/vcvrack/color.hpp usr/include/vcvrack/common.hpp usr/include/vcvrack/componentlibrary.hpp usr/include/vcvrack/context.hpp usr/include/vcvrack/dep/ usr/include/vcvrack/dep/blendish.h usr/include/vcvrack/dep/fftpack.h usr/include/vcvrack/dep/fontstash.h usr/include/vcvrack/dep/nanosvg.h usr/include/vcvrack/dep/nanosvgrast.h usr/include/vcvrack/dep/nanovg_gl_utils.h usr/include/vcvrack/dep/nanovg_gl.h usr/include/vcvrack/dep/nanovg.h usr/include/vcvrack/dep/osdialog.h usr/include/vcvrack/dep/oui.h usr/include/vcvrack/dep/pffft.h usr/include/vcvrack/dep/stb_image_write.h usr/include/vcvrack/dep/stb_image.h usr/include/vcvrack/dep/stb_truetype.h usr/include/vcvrack/dep/tinyexpr.h usr/include/vcvrack/dsp/ usr/include/vcvrack/dsp/approx.hpp usr/include/vcvrack/dsp/common.hpp usr/include/vcvrack/dsp/convert.hpp usr/include/vcvrack/dsp/digital.hpp usr/include/vcvrack/dsp/fft.hpp usr/include/vcvrack/dsp/filter.hpp usr/include/vcvrack/dsp/fir.hpp usr/include/vcvrack/dsp/midi.hpp usr/include/vcvrack/dsp/minblep.hpp usr/include/vcvrack/dsp/ode.hpp usr/include/vcvrack/dsp/resampler.hpp usr/include/vcvrack/dsp/ringbuffer.hpp usr/include/vcvrack/dsp/vumeter.hpp usr/include/vcvrack/dsp/window.hpp usr/include/vcvrack/engine/ usr/include/vcvrack/engine/Cable.hpp usr/include/vcvrack/engine/Engine.hpp usr/include/vcvrack/engine/Light.hpp usr/include/vcvrack/engine/LightInfo.hpp usr/include/vcvrack/engine/Module.hpp usr/include/vcvrack/engine/Param.hpp usr/include/vcvrack/engine/ParamHandle.hpp usr/include/vcvrack/engine/ParamQuantity.hpp usr/include/vcvrack/engine/Port.hpp usr/include/vcvrack/engine/PortInfo.hpp usr/include/vcvrack/gamepad.hpp usr/include/vcvrack/helpers.hpp usr/include/vcvrack/history.hpp usr/include/vcvrack/keyboard.hpp usr/include/vcvrack/library.hpp usr/include/vcvrack/logger.hpp usr/include/vcvrack/math.hpp usr/include/vcvrack/midi.hpp usr/include/vcvrack/midiloopback.hpp usr/include/vcvrack/mutex.hpp usr/include/vcvrack/network.hpp usr/include/vcvrack/patch.hpp usr/include/vcvrack/plugin.hpp usr/include/vcvrack/plugin/ usr/include/vcvrack/plugin/callbacks.hpp usr/include/vcvrack/plugin/Model.hpp usr/include/vcvrack/plugin/Plugin.hpp usr/include/vcvrack/Quantity.hpp usr/include/vcvrack/rack.hpp usr/include/vcvrack/rack0.hpp usr/include/vcvrack/random.hpp usr/include/vcvrack/rtaudio.hpp usr/include/vcvrack/rtmidi.hpp usr/include/vcvrack/settings.hpp usr/include/vcvrack/simd/ usr/include/vcvrack/simd/common.hpp usr/include/vcvrack/simd/functions.hpp usr/include/vcvrack/simd/sse_mathfun_extension.h usr/include/vcvrack/simd/sse_mathfun.h usr/include/vcvrack/simd/Vector.hpp usr/include/vcvrack/string.hpp usr/include/vcvrack/system.hpp usr/include/vcvrack/tag.hpp usr/include/vcvrack/ui/ usr/include/vcvrack/ui/Button.hpp usr/include/vcvrack/ui/ChoiceButton.hpp usr/include/vcvrack/ui/common.hpp usr/include/vcvrack/ui/Label.hpp usr/include/vcvrack/ui/List.hpp usr/include/vcvrack/ui/Menu.hpp usr/include/vcvrack/ui/MenuEntry.hpp usr/include/vcvrack/ui/MenuItem.hpp usr/include/vcvrack/ui/MenuLabel.hpp usr/include/vcvrack/ui/MenuOverlay.hpp usr/include/vcvrack/ui/MenuSeparator.hpp usr/include/vcvrack/ui/OptionButton.hpp usr/include/vcvrack/ui/ProgressBar.hpp usr/include/vcvrack/ui/RadioButton.hpp usr/include/vcvrack/ui/Scrollbar.hpp usr/include/vcvrack/ui/ScrollWidget.hpp usr/include/vcvrack/ui/SequentialLayout.hpp usr/include/vcvrack/ui/Slider.hpp usr/include/vcvrack/ui/TextField.hpp usr/include/vcvrack/ui/Tooltip.hpp usr/include/vcvrack/ui/TooltipOverlay.hpp usr/include/vcvrack/weakptr.hpp usr/include/vcvrack/widget/ usr/include/vcvrack/widget/event.hpp usr/include/vcvrack/widget/FramebufferWidget.hpp usr/include/vcvrack/widget/OpaqueWidget.hpp usr/include/vcvrack/widget/OpenGlWidget.hpp usr/include/vcvrack/widget/SvgWidget.hpp usr/include/vcvrack/widget/TransformWidget.hpp usr/include/vcvrack/widget/TransparentWidget.hpp usr/include/vcvrack/widget/Widget.hpp usr/include/vcvrack/widget/ZoomWidget.hpp usr/include/vcvrack/window/ usr/include/vcvrack/window/Svg.hpp usr/include/vcvrack/window/Window.hpp usr/lib/ usr/lib/libRack.so usr/lib/vcvrack/ usr/lib/vcvrack/cacert.pem usr/lib/vcvrack/Core.json usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/Fundamental/ usr/lib/vcvrack/plugins/Fundamental/LICENSE-GPLv3.txt usr/lib/vcvrack/plugins/Fundamental/LICENSE.md usr/lib/vcvrack/plugins/Fundamental/plugin.json usr/lib/vcvrack/plugins/Fundamental/plugin.so usr/lib/vcvrack/plugins/Fundamental/presets/ usr/lib/vcvrack/plugins/Fundamental/presets/CVMix/ usr/lib/vcvrack/plugins/Fundamental/presets/CVMix/Unity mix.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Mutes/ usr/lib/vcvrack/plugins/Fundamental/presets/Mutes/Mute all.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/ usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/00_Ionian (Major).vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/01_Dorian.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/02_Phrygian.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/03_Lydian.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/04_Mixolydian.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/05_Aeolian (Minor).vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/06_Locrian.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/07_Aeolian 7 (Harmonic Minor).vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/08_Locrian 6.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/09_Ionian #5.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/10_Dorian #4.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/11_Phrygian 3.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/12_Lydian #2.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/13_Locrian b4 bb7.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/14_Aeolian 6 7 (Melodic Minor).vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/15_Phrygian 6.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/16_Lydian #5.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/17_Lydian b7.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/18_Aeolian 3.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/19_Locrian 2.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/20_Locrian b4.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/21_Bebop Dominant.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/22_Bebop Major.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/23_Bebop Minor.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/24_Bebop Melodic Minor.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/25_Blues Major.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/26_Blues Minor.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/27_Blues Diminished.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/28_Blues Pentatonic.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/29_Blues Rock'n'Roll.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/30_Byzantine.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/31_Hungarian Minor.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/32_Hungarian Gypsy.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/33_Spanish Gypsy.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/34_Major Pentatonic.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/35_Neutral Pentatonic.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/36_Rock Pentatonic.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/37_Scottish Pentatonic.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/38_Minor Pentatonic.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/39_Whole.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/40_Whole-Half.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/41_Half-Whole.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/42_Augmented.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/43_Byzantine.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/44_Chromatic.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/45_Enigmatic (Ascending).vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/46_Enigmatic (Descending).vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/47_Hungarian Major.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/48_Hungarian Minor.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/49_Neapolitan Major.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/50_Neapolitan Minor.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/51_Overtone.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/52_Prometheus.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/53_Prometheus Neapolitan.vcvm usr/lib/vcvrack/plugins/Fundamental/presets/Quantizer/54_Spanish 8 Tone.vcvm usr/lib/vcvrack/plugins/Fundamental/res/ usr/lib/vcvrack/plugins/Fundamental/res/8vert-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/8vert.svg usr/lib/vcvrack/plugins/Fundamental/res/ADSR-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/ADSR.svg usr/lib/vcvrack/plugins/Fundamental/res/Compare-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Compare.svg usr/lib/vcvrack/plugins/Fundamental/res/CVMix-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/CVMix.svg usr/lib/vcvrack/plugins/Fundamental/res/Delay-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Delay.svg usr/lib/vcvrack/plugins/Fundamental/res/Fade-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Fade.svg usr/lib/vcvrack/plugins/Fundamental/res/Gates-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Gates.svg usr/lib/vcvrack/plugins/Fundamental/res/LFO-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/LFO.svg usr/lib/vcvrack/plugins/Fundamental/res/Logic-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Logic.svg usr/lib/vcvrack/plugins/Fundamental/res/Merge-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Merge.svg usr/lib/vcvrack/plugins/Fundamental/res/MidSide-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/MidSide.svg usr/lib/vcvrack/plugins/Fundamental/res/Mixer-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Mixer.svg usr/lib/vcvrack/plugins/Fundamental/res/Mult-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Mult.svg usr/lib/vcvrack/plugins/Fundamental/res/Mutes-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Mutes.svg usr/lib/vcvrack/plugins/Fundamental/res/Noise-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Noise.svg usr/lib/vcvrack/plugins/Fundamental/res/Octave-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Octave.svg usr/lib/vcvrack/plugins/Fundamental/res/Process-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Process.svg usr/lib/vcvrack/plugins/Fundamental/res/Pulses-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Pulses.svg usr/lib/vcvrack/plugins/Fundamental/res/Push-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Push.svg usr/lib/vcvrack/plugins/Fundamental/res/Quantizer-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Quantizer.svg usr/lib/vcvrack/plugins/Fundamental/res/Random-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Random.svg usr/lib/vcvrack/plugins/Fundamental/res/RandomValues-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/RandomValues.svg usr/lib/vcvrack/plugins/Fundamental/res/Rescale-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Rescale.svg usr/lib/vcvrack/plugins/Fundamental/res/Scope-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Scope.svg usr/lib/vcvrack/plugins/Fundamental/res/SEQ3-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/SEQ3.svg usr/lib/vcvrack/plugins/Fundamental/res/SequentialSwitch1-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/SequentialSwitch1.svg usr/lib/vcvrack/plugins/Fundamental/res/SequentialSwitch2-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/SequentialSwitch2.svg usr/lib/vcvrack/plugins/Fundamental/res/SHASR-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/SHASR.svg usr/lib/vcvrack/plugins/Fundamental/res/Split-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Split.svg usr/lib/vcvrack/plugins/Fundamental/res/Sum-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Sum.svg usr/lib/vcvrack/plugins/Fundamental/res/Unity.svg usr/lib/vcvrack/plugins/Fundamental/res/VCA-1-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/VCA-1.svg usr/lib/vcvrack/plugins/Fundamental/res/VCA.svg usr/lib/vcvrack/plugins/Fundamental/res/VCF-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/VCF.svg usr/lib/vcvrack/plugins/Fundamental/res/VCMixer-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/VCMixer.svg usr/lib/vcvrack/plugins/Fundamental/res/VCO-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/VCO.svg usr/lib/vcvrack/plugins/Fundamental/res/VCVBezelBig.svg usr/lib/vcvrack/plugins/Fundamental/res/Viz-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/Viz.svg usr/lib/vcvrack/plugins/Fundamental/res/WTLFO-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/WTLFO.svg usr/lib/vcvrack/plugins/Fundamental/res/WTVCO-dark.svg usr/lib/vcvrack/plugins/Fundamental/res/WTVCO.svg usr/lib/vcvrack/Rack usr/lib/vcvrack/res/ usr/lib/vcvrack/res/ComponentLibrary/ usr/lib/vcvrack/res/ComponentLibrary/ADAT.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoBigKnob_bg.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoBigKnob.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoPush_0.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoPush_1.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoSlidePot.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoSlidePotHandle.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoSwitch_0.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoSwitch_1.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoSwitch_2.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoSwitch.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoTinyKnobWhite_bg.svg usr/lib/vcvrack/res/ComponentLibrary/BefacoTinyPointBlack.svg usr/lib/vcvrack/res/ComponentLibrary/CKD6_0.svg usr/lib/vcvrack/res/ComponentLibrary/CKD6_1.svg usr/lib/vcvrack/res/ComponentLibrary/CKSS_0.svg usr/lib/vcvrack/res/ComponentLibrary/CKSS_1.svg usr/lib/vcvrack/res/ComponentLibrary/CKSSThree_0.svg usr/lib/vcvrack/res/ComponentLibrary/CKSSThree_1.svg usr/lib/vcvrack/res/ComponentLibrary/CKSSThree_2.svg usr/lib/vcvrack/res/ComponentLibrary/CKSSThreeHorizontal_0.svg usr/lib/vcvrack/res/ComponentLibrary/CKSSThreeHorizontal_1.svg usr/lib/vcvrack/res/ComponentLibrary/CKSSThreeHorizontal_2.svg usr/lib/vcvrack/res/ComponentLibrary/CL1362.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hBlack_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hBlack.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hLargeBlack_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hLargeBlack.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hLargeRed_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hLargeRed.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hLargeWhite_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hLargeWhite.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hRed_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hRed.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hWhite_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Davies1900hWhite.svg usr/lib/vcvrack/res/ComponentLibrary/LargeLight.svg usr/lib/vcvrack/res/ComponentLibrary/MediumLight.svg usr/lib/vcvrack/res/ComponentLibrary/MIDI_DIN.svg usr/lib/vcvrack/res/ComponentLibrary/NKK_0.svg usr/lib/vcvrack/res/ComponentLibrary/NKK_1.svg usr/lib/vcvrack/res/ComponentLibrary/NKK_2.svg usr/lib/vcvrack/res/ComponentLibrary/PB61303.svg usr/lib/vcvrack/res/ComponentLibrary/PJ301M-dark.svg usr/lib/vcvrack/res/ComponentLibrary/PJ301M.svg usr/lib/vcvrack/res/ComponentLibrary/PJ3410.svg usr/lib/vcvrack/res/ComponentLibrary/Plug.svg usr/lib/vcvrack/res/ComponentLibrary/PlugPort.svg usr/lib/vcvrack/res/ComponentLibrary/Rail-hcdark.svg usr/lib/vcvrack/res/ComponentLibrary/Rail-light.svg usr/lib/vcvrack/res/ComponentLibrary/Rail.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1P_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PBlue_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PBlue.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PGreen_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PGreen.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PRed_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PRed.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PS_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PSBlue_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PSBlue.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PSGreen_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PSGreen.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PSRed_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PSRed.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PSWhite_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PSWhite.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PWhite_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan1PWhite.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2P_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PBlue_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PBlue.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PGreen_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PGreen.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PRed_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PRed.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PS_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PSBlue_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PSBlue.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PSGreen_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PSGreen.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PSRed_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PSRed.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PSWhite_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PSWhite.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PWhite_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2PWhite.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2S_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2SGray_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan2SGray.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3P_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PBlue_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PBlue.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PGreen_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PGreen.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PRed_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PRed.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PS_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PSBlue_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PSBlue.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PSGreen_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PSGreen.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PSRed_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PSRed.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PSWhite_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PSWhite.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PWhite_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan3PWhite.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan5PS_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan5PSGray_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan5PSGray.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan6PS_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan6PSWhite_fg.svg usr/lib/vcvrack/res/ComponentLibrary/Rogan6PSWhite.svg usr/lib/vcvrack/res/ComponentLibrary/RoundBigBlackKnob_bg.svg usr/lib/vcvrack/res/ComponentLibrary/RoundBigBlackKnob.svg usr/lib/vcvrack/res/ComponentLibrary/RoundBlackKnob_bg.svg usr/lib/vcvrack/res/ComponentLibrary/RoundBlackKnob.svg usr/lib/vcvrack/res/ComponentLibrary/RoundHugeBlackKnob_bg.svg usr/lib/vcvrack/res/ComponentLibrary/RoundHugeBlackKnob.svg usr/lib/vcvrack/res/ComponentLibrary/RoundLargeBlackKnob_bg.svg usr/lib/vcvrack/res/ComponentLibrary/RoundLargeBlackKnob.svg usr/lib/vcvrack/res/ComponentLibrary/RoundSmallBlackKnob_bg.svg usr/lib/vcvrack/res/ComponentLibrary/RoundSmallBlackKnob.svg usr/lib/vcvrack/res/ComponentLibrary/ScrewBlack.svg usr/lib/vcvrack/res/ComponentLibrary/ScrewSilver.svg usr/lib/vcvrack/res/ComponentLibrary/SmallLight.svg usr/lib/vcvrack/res/ComponentLibrary/SynthTechAlco_bg.svg usr/lib/vcvrack/res/ComponentLibrary/SynthTechAlco.svg usr/lib/vcvrack/res/ComponentLibrary/TinyLight.svg usr/lib/vcvrack/res/ComponentLibrary/TL1105_0.svg usr/lib/vcvrack/res/ComponentLibrary/TL1105_1.svg usr/lib/vcvrack/res/ComponentLibrary/Trimpot_bg.svg usr/lib/vcvrack/res/ComponentLibrary/Trimpot.svg usr/lib/vcvrack/res/ComponentLibrary/USB_B.svg usr/lib/vcvrack/res/ComponentLibrary/VCVBezel.svg usr/lib/vcvrack/res/ComponentLibrary/VCVButton_0.svg usr/lib/vcvrack/res/ComponentLibrary/VCVButton_1.svg usr/lib/vcvrack/res/ComponentLibrary/VCVSlider.svg usr/lib/vcvrack/res/ComponentLibrary/VCVSliderHandle.svg usr/lib/vcvrack/res/ComponentLibrary/VCVSliderLight.svg usr/lib/vcvrack/res/Core/ usr/lib/vcvrack/res/Core/Audio16-dark.svg usr/lib/vcvrack/res/Core/Audio16.svg usr/lib/vcvrack/res/Core/Audio2-dark.svg usr/lib/vcvrack/res/Core/Audio2.svg usr/lib/vcvrack/res/Core/Audio8-dark.svg usr/lib/vcvrack/res/Core/Audio8.svg usr/lib/vcvrack/res/Core/CV_MIDI-dark.svg usr/lib/vcvrack/res/Core/CV_MIDI.svg usr/lib/vcvrack/res/Core/CV_MIDICC-dark.svg usr/lib/vcvrack/res/Core/CV_MIDICC.svg usr/lib/vcvrack/res/Core/Gate_MIDI-dark.svg usr/lib/vcvrack/res/Core/Gate_MIDI.svg usr/lib/vcvrack/res/Core/MIDI_CV-dark.svg usr/lib/vcvrack/res/Core/MIDI_CV.svg usr/lib/vcvrack/res/Core/MIDI_Gate-dark.svg usr/lib/vcvrack/res/Core/MIDI_Gate.svg usr/lib/vcvrack/res/Core/MIDICC_CV-dark.svg usr/lib/vcvrack/res/Core/MIDICC_CV.svg usr/lib/vcvrack/res/Core/MIDIMap-dark.svg usr/lib/vcvrack/res/Core/MIDIMap.svg usr/lib/vcvrack/res/Core/Notes-dark.svg usr/lib/vcvrack/res/Core/Notes.svg usr/lib/vcvrack/res/fonts/ usr/lib/vcvrack/res/fonts/DejaVuSans-LICENSE.txt usr/lib/vcvrack/res/fonts/DejaVuSans.ttf usr/lib/vcvrack/res/fonts/DSEG-LICENSE.txt usr/lib/vcvrack/res/fonts/DSEG7ClassicMini-Bold.ttf usr/lib/vcvrack/res/fonts/DSEG7ClassicMini-BoldItalic.ttf usr/lib/vcvrack/res/fonts/DSEG7ClassicMini-Italic.ttf usr/lib/vcvrack/res/fonts/DSEG7ClassicMini-Light.ttf usr/lib/vcvrack/res/fonts/DSEG7ClassicMini-LightItalic.ttf usr/lib/vcvrack/res/fonts/DSEG7ClassicMini-Regular.ttf usr/lib/vcvrack/res/fonts/Nunito-Bold.ttf usr/lib/vcvrack/res/fonts/Nunito-LICENSE.txt usr/lib/vcvrack/res/fonts/ShareTechMono-Regular-LICENSE.txt usr/lib/vcvrack/res/fonts/ShareTechMono-Regular.ttf usr/lib/vcvrack/res/icon.png usr/lib/vcvrack/template.vcv usr/share/ usr/share/applications/ usr/share/applications/vcvrack.desktop usr/share/licenses/ usr/share/licenses/vcvrack/ usr/share/licenses/vcvrack/LICENSE.md usr/share/licenses/vcvrack/trademark.eml usr/share/pixmaps/ usr/share/pixmaps/vcvrack.png usr/share/vcvrack/ usr/share/vcvrack/arch.mk usr/share/vcvrack/compile.mk usr/share/vcvrack/dep.mk usr/share/vcvrack/plugin.mk
aarch64vcvrack-21khz2.0.1-121kHz VCV Rack modules
Close

vcvrack-21khz 2.0.1-1


Architecture:aarch64
Base Package:vcvrack-21khz (PKGBUILD)
Description:21kHz VCV Rack modules
Upstream URL:https://github.com/netboy3/21kHz-rack-plugins
Groups:proaudio
vcvrack-plugins
Download Size:60.2 kB (Download)
Installed Size:266.3 kB
License:MIT
Build Date:2022-07-23 14:57 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/21kHz/ usr/lib/vcvrack/plugins/21kHz/plugin.json usr/lib/vcvrack/plugins/21kHz/plugin.so usr/lib/vcvrack/plugins/21kHz/res/ usr/lib/vcvrack/plugins/21kHz/res/Components/ usr/lib/vcvrack/plugins/21kHz/res/Components/kHzButton_0.svg usr/lib/vcvrack/plugins/21kHz/res/Components/kHzButton_1.svg usr/lib/vcvrack/plugins/21kHz/res/Components/kHzKnob.svg usr/lib/vcvrack/plugins/21kHz/res/Components/kHzKnobSmall.svg usr/lib/vcvrack/plugins/21kHz/res/Components/kHzKnobTiny.svg usr/lib/vcvrack/plugins/21kHz/res/Components/kHzPort.svg usr/lib/vcvrack/plugins/21kHz/res/Components/kHzScrew.svg usr/lib/vcvrack/plugins/21kHz/res/Panels/ usr/lib/vcvrack/plugins/21kHz/res/Panels/D_Inf.svg usr/lib/vcvrack/plugins/21kHz/res/Panels/PalmLoop.svg usr/lib/vcvrack/plugins/21kHz/res/Panels/TachyonEntangler.svg usr/share/ usr/share/licenses/ usr/share/licenses/vcvrack-21khz/ usr/share/licenses/vcvrack-21khz/LICENSE.txt
x86_64vcvrack-21khz2.0.1-121kHz VCV Rack modules
Close

vcvrack-21khz 2.0.1-1


Architecture:x86_64
Base Package:vcvrack-21khz (PKGBUILD)
Description:21kHz VCV Rack modules
Upstream URL:https://github.com/netboy3/21kHz-rack-plugins
Groups:proaudio
vcvrack-plugins
Download Size:83.2 kB (Download)
Installed Size:270.4 kB
License:MIT
Build Date:2022-07-23 14:57 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/21kHz/ usr/lib/vcvrack/plugins/21kHz/plugin.json usr/lib/vcvrack/plugins/21kHz/plugin.so usr/lib/vcvrack/plugins/21kHz/res/ usr/lib/vcvrack/plugins/21kHz/res/Components/ usr/lib/vcvrack/plugins/21kHz/res/Components/kHzButton_0.svg usr/lib/vcvrack/plugins/21kHz/res/Components/kHzButton_1.svg usr/lib/vcvrack/plugins/21kHz/res/Components/kHzKnob.svg usr/lib/vcvrack/plugins/21kHz/res/Components/kHzKnobSmall.svg usr/lib/vcvrack/plugins/21kHz/res/Components/kHzKnobTiny.svg usr/lib/vcvrack/plugins/21kHz/res/Components/kHzPort.svg usr/lib/vcvrack/plugins/21kHz/res/Components/kHzScrew.svg usr/lib/vcvrack/plugins/21kHz/res/Panels/ usr/lib/vcvrack/plugins/21kHz/res/Panels/D_Inf.svg usr/lib/vcvrack/plugins/21kHz/res/Panels/PalmLoop.svg usr/lib/vcvrack/plugins/21kHz/res/Panels/TachyonEntangler.svg usr/share/ usr/share/licenses/ usr/share/licenses/vcvrack-21khz/ usr/share/licenses/vcvrack-21khz/LICENSE.txt
aarch64vcvrack-8mode2.0-18Mode VCV Rack modules
Close

vcvrack-8mode 2.0-1


Architecture:aarch64
Base Package:vcvrack-8mode (PKGBUILD)
Description:8Mode VCV Rack modules
Upstream URL:https://github.com/8Mode/8Mode-VCV_Modules
Groups:proaudio
vcvrack-plugins
Download Size:48.8 kB (Download)
Installed Size:224.9 kB
License:BSD
Build Date:2022-07-23 14:57 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/8Mode/ usr/lib/vcvrack/plugins/8Mode/plugin.json usr/lib/vcvrack/plugins/8Mode/plugin.so usr/lib/vcvrack/plugins/8Mode/res/ usr/lib/vcvrack/plugins/8Mode/res/8Mode_Knob1.svg usr/lib/vcvrack/plugins/8Mode/res/8Mode_ss_0.svg usr/lib/vcvrack/plugins/8Mode/res/8Mode_ss_1.svg usr/lib/vcvrack/plugins/8Mode/res/SNsoft_Panel.svg usr/share/ usr/share/licenses/ usr/share/licenses/vcvrack-8mode/ usr/share/licenses/vcvrack-8mode/LICENSE
x86_64vcvrack-8mode2.0-18Mode VCV Rack modules
Close

vcvrack-8mode 2.0-1


Architecture:x86_64
Base Package:vcvrack-8mode (PKGBUILD)
Description:8Mode VCV Rack modules
Upstream URL:https://github.com/8Mode/8Mode-VCV_Modules
Groups:proaudio
vcvrack-plugins
Download Size:63.2 kB (Download)
Installed Size:220.7 kB
License:BSD
Build Date:2022-07-23 14:57 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/8Mode/ usr/lib/vcvrack/plugins/8Mode/plugin.json usr/lib/vcvrack/plugins/8Mode/plugin.so usr/lib/vcvrack/plugins/8Mode/res/ usr/lib/vcvrack/plugins/8Mode/res/8Mode_Knob1.svg usr/lib/vcvrack/plugins/8Mode/res/8Mode_ss_0.svg usr/lib/vcvrack/plugins/8Mode/res/8Mode_ss_1.svg usr/lib/vcvrack/plugins/8Mode/res/SNsoft_Panel.svg usr/share/ usr/share/licenses/ usr/share/licenses/vcvrack-8mode/ usr/share/licenses/vcvrack-8mode/LICENSE
aarch64vcvrack-aaronstatic2.0-1Aaron Static VCV Rack modules
Close

vcvrack-aaronstatic 2.0-1


Architecture:aarch64
Base Package:vcvrack-aaronstatic (PKGBUILD)
Description:Aaron Static VCV Rack modules
Upstream URL:https://github.com/aaronstatic/AaronStatic_modules
Groups:proaudio
vcvrack-plugins
Download Size:39.9 kB (Download)
Installed Size:176.8 kB
License:MIT
Build Date:2022-07-23 14:57 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/AaronStatic/ usr/lib/vcvrack/plugins/AaronStatic/plugin.json usr/lib/vcvrack/plugins/AaronStatic/plugin.so usr/lib/vcvrack/plugins/AaronStatic/res/ usr/lib/vcvrack/plugins/AaronStatic/res/ChordCV.svg usr/lib/vcvrack/plugins/AaronStatic/res/fonts/ usr/lib/vcvrack/plugins/AaronStatic/res/fonts/PixelOperator.ttf usr/share/ usr/share/licenses/ usr/share/licenses/vcvrack-aaronstatic/ usr/share/licenses/vcvrack-aaronstatic/LICENSE.txt
x86_64vcvrack-aaronstatic2.0-1Aaron Static VCV Rack modules
Close

vcvrack-aaronstatic 2.0-1


Architecture:x86_64
Base Package:vcvrack-aaronstatic (PKGBUILD)
Description:Aaron Static VCV Rack modules
Upstream URL:https://github.com/aaronstatic/AaronStatic_modules
Groups:proaudio
vcvrack-plugins
Download Size:52.3 kB (Download)
Installed Size:172.7 kB
License:MIT
Build Date:2022-07-23 14:57 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/AaronStatic/ usr/lib/vcvrack/plugins/AaronStatic/plugin.json usr/lib/vcvrack/plugins/AaronStatic/plugin.so usr/lib/vcvrack/plugins/AaronStatic/res/ usr/lib/vcvrack/plugins/AaronStatic/res/ChordCV.svg usr/lib/vcvrack/plugins/AaronStatic/res/fonts/ usr/lib/vcvrack/plugins/AaronStatic/res/fonts/PixelOperator.ttf usr/share/ usr/share/licenses/ usr/share/licenses/vcvrack-aaronstatic/ usr/share/licenses/vcvrack-aaronstatic/LICENSE.txt
aarch64vcvrack-ahornberg2.1.6-2Ahornberg VCV Rack modules
Close

vcvrack-ahornberg 2.1.6-2


Architecture:aarch64
Base Package:vcvrack-ahornberg (PKGBUILD)
Description:Ahornberg VCV Rack modules
Upstream URL:https://github.com/Ahornberg/Ahornberg-VCV-Modules
Groups:proaudio
vcvrack-plugins
Download Size:424.7 kB (Download)
Installed Size:3.0 MB
Licenses:custom:OFL
GPL3
Build Date:2022-07-23 14:57 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/Ahornberg/ usr/lib/vcvrack/plugins/Ahornberg/plugin.json usr/lib/vcvrack/plugins/Ahornberg/plugin.so usr/lib/vcvrack/plugins/Ahornberg/presets/ usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/ usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/10_5edo.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/15_7edo.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/20_13edt - Bohlen-Pierce.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/25_20ed5 - Hieronymus.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/30_25ed5 - Stockhausen.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/35_12edo - Western Standard.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/40_15edo.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/45_9edf - Carlos Alpha.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/50_33ed4 - Ahornberg Equivocal.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/55_17edo.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/60_49ed7 - Ahornberg 7.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/65_11edf - Carlos Beta.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/70_19edo.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/75_22edo.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/80_31edo - Fokker Organ.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/85_20edf - Carlos Gamma.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/90_41edo.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/95_53edo.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/98_72edo.vcvm usr/lib/vcvrack/plugins/Ahornberg/res/ usr/lib/vcvrack/plugins/Ahornberg/res/CopyPastePreset.svg usr/lib/vcvrack/plugins/Ahornberg/res/CVFreqShift.svg usr/lib/vcvrack/plugins/Ahornberg/res/EqualDivision.svg usr/lib/vcvrack/plugins/Ahornberg/res/FlyingFader.svg usr/lib/vcvrack/plugins/Ahornberg/res/fonts/ usr/lib/vcvrack/plugins/Ahornberg/res/fonts/Comili-Book.ttf usr/lib/vcvrack/plugins/Ahornberg/res/fonts/hdad-segment14.ttf usr/lib/vcvrack/plugins/Ahornberg/res/fonts/Inconsolata-Bold.ttf usr/lib/vcvrack/plugins/Ahornberg/res/fonts/TruenoExBdIt.ttf usr/lib/vcvrack/plugins/Ahornberg/res/fonts/vu-meter-font.ttf usr/lib/vcvrack/plugins/Ahornberg/res/FunWithFrames.svg usr/lib/vcvrack/plugins/Ahornberg/res/Harmonizer.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/ usr/lib/vcvrack/plugins/Ahornberg/res/knobs/Big.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/Large.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/MotorizedFaderBackground.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/MotorizedFaderHandle_black.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/MotorizedFaderHandle_blue.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/MotorizedFaderHandle_brown.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/MotorizedFaderHandle_green.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/MotorizedFaderHandle_grey.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/MotorizedFaderHandle_orange.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/MotorizedFaderHandle_pink.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/MotorizedFaderHandle_purple.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/MotorizedFaderHandle_red.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/MotorizedFaderHandle_white.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/Screw.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/ScrewWithDot.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/Small.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/Tiny.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/Wheel.svg usr/lib/vcvrack/plugins/Ahornberg/res/Manual.svg usr/lib/vcvrack/plugins/Ahornberg/res/Metronome.svg usr/lib/vcvrack/plugins/Ahornberg/res/MIDIOverAudio_disabled.svg usr/lib/vcvrack/plugins/Ahornberg/res/MIDIOverAudio.svg usr/lib/vcvrack/plugins/Ahornberg/res/MIDIPolyExpression.svg usr/lib/vcvrack/plugins/Ahornberg/res/ports/ usr/lib/vcvrack/plugins/Ahornberg/res/ports/In.svg usr/lib/vcvrack/plugins/Ahornberg/res/ports/InSmall.svg usr/lib/vcvrack/plugins/Ahornberg/res/ports/Out.svg usr/lib/vcvrack/plugins/Ahornberg/res/ports/OutSmall.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/ usr/lib/vcvrack/plugins/Ahornberg/res/stripes/1970.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/Brown.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/Chip.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/community/ usr/lib/vcvrack/plugins/Ahornberg/res/stripes/community/ady34_1.png usr/lib/vcvrack/plugins/Ahornberg/res/stripes/community/fra_dsprds_1.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/community/fra_dsprds_2_old.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/community/fra_dsprds_2.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/community/fra_dsprds_3.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/community/jue_1.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/Flowers.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/Green.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/Purple.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/Rainbow.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/Red.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/Snowflake.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/Stars.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/Tape.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/template.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/Zzz.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/ usr/lib/vcvrack/plugins/Ahornberg/res/switches/CueBackwards_off.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/CueBackwards_on.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/CueForwards_off.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/CueForwards_on.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/MidiButtonSmall.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/Pause_off.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/Pause_on.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/PlayBackwards_off.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/PlayBackwards_on.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/PlayForwards_off.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/PlayForwards_on.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/Round_linear_jump.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/Round_linear.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/Round_off.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/Round_on.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/Round_red.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/RoundLarge_off.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/RoundLarge_on.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/RoundMedium_linked_to_right.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/RoundMedium_off.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/RoundMedium_on.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/RoundMedium_red.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/RoundMedium_unlinked_linked_from_left.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/RoundMedium_unlinked.svg usr/lib/vcvrack/plugins/Ahornberg/res/TapeRecorder.svg usr/lib/vcvrack/plugins/Ahornberg/res/TapeRecorderMixer.svg usr/lib/vcvrack/plugins/Ahornberg/res/Tracker.svg usr/lib/vcvrack/plugins/Ahornberg/selections/ usr/lib/vcvrack/plugins/Ahornberg/selections/Metronome/ usr/lib/vcvrack/plugins/Ahornberg/selections/Metronome/10_numpad control.vcvs usr/share/ usr/share/licenses/ usr/share/licenses/vcvrack-ahornberg/ usr/share/licenses/vcvrack-ahornberg/Comili-Book-LICENSE.txt usr/share/licenses/vcvrack-ahornberg/hdad-segment14-LICENSE.txt usr/share/licenses/vcvrack-ahornberg/Inconsolata-Bold-LICENSE.txt usr/share/licenses/vcvrack-ahornberg/TruenoExBdIt-LICENSE.txt
x86_64vcvrack-ahornberg2.1.6-2Ahornberg VCV Rack modules
Close

vcvrack-ahornberg 2.1.6-2


Architecture:x86_64
Base Package:vcvrack-ahornberg (PKGBUILD)
Description:Ahornberg VCV Rack modules
Upstream URL:https://github.com/Ahornberg/Ahornberg-VCV-Modules
Groups:proaudio
vcvrack-plugins
Download Size:583.2 kB (Download)
Installed Size:3.0 MB
Licenses:custom:OFL
GPL3
Build Date:2022-07-23 14:57 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/Ahornberg/ usr/lib/vcvrack/plugins/Ahornberg/plugin.json usr/lib/vcvrack/plugins/Ahornberg/plugin.so usr/lib/vcvrack/plugins/Ahornberg/presets/ usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/ usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/10_5edo.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/15_7edo.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/20_13edt - Bohlen-Pierce.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/25_20ed5 - Hieronymus.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/30_25ed5 - Stockhausen.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/35_12edo - Western Standard.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/40_15edo.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/45_9edf - Carlos Alpha.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/50_33ed4 - Ahornberg Equivocal.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/55_17edo.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/60_49ed7 - Ahornberg 7.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/65_11edf - Carlos Beta.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/70_19edo.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/75_22edo.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/80_31edo - Fokker Organ.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/85_20edf - Carlos Gamma.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/90_41edo.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/95_53edo.vcvm usr/lib/vcvrack/plugins/Ahornberg/presets/EqualDivision/98_72edo.vcvm usr/lib/vcvrack/plugins/Ahornberg/res/ usr/lib/vcvrack/plugins/Ahornberg/res/CopyPastePreset.svg usr/lib/vcvrack/plugins/Ahornberg/res/CVFreqShift.svg usr/lib/vcvrack/plugins/Ahornberg/res/EqualDivision.svg usr/lib/vcvrack/plugins/Ahornberg/res/FlyingFader.svg usr/lib/vcvrack/plugins/Ahornberg/res/fonts/ usr/lib/vcvrack/plugins/Ahornberg/res/fonts/Comili-Book.ttf usr/lib/vcvrack/plugins/Ahornberg/res/fonts/hdad-segment14.ttf usr/lib/vcvrack/plugins/Ahornberg/res/fonts/Inconsolata-Bold.ttf usr/lib/vcvrack/plugins/Ahornberg/res/fonts/TruenoExBdIt.ttf usr/lib/vcvrack/plugins/Ahornberg/res/fonts/vu-meter-font.ttf usr/lib/vcvrack/plugins/Ahornberg/res/FunWithFrames.svg usr/lib/vcvrack/plugins/Ahornberg/res/Harmonizer.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/ usr/lib/vcvrack/plugins/Ahornberg/res/knobs/Big.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/Large.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/MotorizedFaderBackground.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/MotorizedFaderHandle_black.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/MotorizedFaderHandle_blue.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/MotorizedFaderHandle_brown.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/MotorizedFaderHandle_green.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/MotorizedFaderHandle_grey.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/MotorizedFaderHandle_orange.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/MotorizedFaderHandle_pink.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/MotorizedFaderHandle_purple.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/MotorizedFaderHandle_red.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/MotorizedFaderHandle_white.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/Screw.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/ScrewWithDot.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/Small.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/Tiny.svg usr/lib/vcvrack/plugins/Ahornberg/res/knobs/Wheel.svg usr/lib/vcvrack/plugins/Ahornberg/res/Manual.svg usr/lib/vcvrack/plugins/Ahornberg/res/Metronome.svg usr/lib/vcvrack/plugins/Ahornberg/res/MIDIOverAudio_disabled.svg usr/lib/vcvrack/plugins/Ahornberg/res/MIDIOverAudio.svg usr/lib/vcvrack/plugins/Ahornberg/res/MIDIPolyExpression.svg usr/lib/vcvrack/plugins/Ahornberg/res/ports/ usr/lib/vcvrack/plugins/Ahornberg/res/ports/In.svg usr/lib/vcvrack/plugins/Ahornberg/res/ports/InSmall.svg usr/lib/vcvrack/plugins/Ahornberg/res/ports/Out.svg usr/lib/vcvrack/plugins/Ahornberg/res/ports/OutSmall.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/ usr/lib/vcvrack/plugins/Ahornberg/res/stripes/1970.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/Brown.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/Chip.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/community/ usr/lib/vcvrack/plugins/Ahornberg/res/stripes/community/ady34_1.png usr/lib/vcvrack/plugins/Ahornberg/res/stripes/community/fra_dsprds_1.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/community/fra_dsprds_2_old.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/community/fra_dsprds_2.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/community/fra_dsprds_3.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/community/jue_1.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/Flowers.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/Green.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/Purple.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/Rainbow.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/Red.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/Snowflake.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/Stars.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/Tape.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/template.svg usr/lib/vcvrack/plugins/Ahornberg/res/stripes/Zzz.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/ usr/lib/vcvrack/plugins/Ahornberg/res/switches/CueBackwards_off.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/CueBackwards_on.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/CueForwards_off.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/CueForwards_on.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/MidiButtonSmall.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/Pause_off.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/Pause_on.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/PlayBackwards_off.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/PlayBackwards_on.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/PlayForwards_off.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/PlayForwards_on.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/Round_linear_jump.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/Round_linear.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/Round_off.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/Round_on.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/Round_red.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/RoundLarge_off.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/RoundLarge_on.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/RoundMedium_linked_to_right.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/RoundMedium_off.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/RoundMedium_on.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/RoundMedium_red.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/RoundMedium_unlinked_linked_from_left.svg usr/lib/vcvrack/plugins/Ahornberg/res/switches/RoundMedium_unlinked.svg usr/lib/vcvrack/plugins/Ahornberg/res/TapeRecorder.svg usr/lib/vcvrack/plugins/Ahornberg/res/TapeRecorderMixer.svg usr/lib/vcvrack/plugins/Ahornberg/res/Tracker.svg usr/lib/vcvrack/plugins/Ahornberg/selections/ usr/lib/vcvrack/plugins/Ahornberg/selections/Metronome/ usr/lib/vcvrack/plugins/Ahornberg/selections/Metronome/10_numpad control.vcvs usr/share/ usr/share/licenses/ usr/share/licenses/vcvrack-ahornberg/ usr/share/licenses/vcvrack-ahornberg/Comili-Book-LICENSE.txt usr/share/licenses/vcvrack-ahornberg/hdad-segment14-LICENSE.txt usr/share/licenses/vcvrack-ahornberg/Inconsolata-Bold-LICENSE.txt usr/share/licenses/vcvrack-ahornberg/TruenoExBdIt-LICENSE.txt
aarch64vcvrack-alefsbits2.5.54-1alef's bits VCV Rack modules
Close

vcvrack-alefsbits 2.5.54-1


Architecture:aarch64
Base Package:vcvrack-alefsbits (PKGBUILD)
Description:alef's bits VCV Rack modules
Upstream URL:https://github.com/alefnull/alefsbits
Groups:proaudio
vcvrack-plugins
Download Size:244.9 kB (Download)
Installed Size:1.8 MB
License:GPL3
Build Date:2023-12-06 00:36 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/alefsbits/ usr/lib/vcvrack/plugins/alefsbits/LICENSE usr/lib/vcvrack/plugins/alefsbits/plugin.json usr/lib/vcvrack/plugins/alefsbits/plugin.so usr/lib/vcvrack/plugins/alefsbits/res/ usr/lib/vcvrack/plugins/alefsbits/res/blank6hp.svg usr/lib/vcvrack/plugins/alefsbits/res/components/ usr/lib/vcvrack/plugins/alefsbits/res/components/bitknob_bg.svg usr/lib/vcvrack/plugins/alefsbits/res/components/bitknob_fg.svg usr/lib/vcvrack/plugins/alefsbits/res/components/bitport.svg usr/lib/vcvrack/plugins/alefsbits/res/components/empty.svg usr/lib/vcvrack/plugins/alefsbits/res/components/largebitknob_bg.svg usr/lib/vcvrack/plugins/alefsbits/res/components/largebitknob_fg.svg usr/lib/vcvrack/plugins/alefsbits/res/components/logo.svg usr/lib/vcvrack/plugins/alefsbits/res/components/smallbitknob_bg.svg usr/lib/vcvrack/plugins/alefsbits/res/components/smallbitknob_fg.svg usr/lib/vcvrack/plugins/alefsbits/res/fibb.svg usr/lib/vcvrack/plugins/alefsbits/res/lights.svg usr/lib/vcvrack/plugins/alefsbits/res/logic.svg usr/lib/vcvrack/plugins/alefsbits/res/lucc.svg usr/lib/vcvrack/plugins/alefsbits/res/math.svg usr/lib/vcvrack/plugins/alefsbits/res/mlt.svg usr/lib/vcvrack/plugins/alefsbits/res/noize.svg usr/lib/vcvrack/plugins/alefsbits/res/nos.svg usr/lib/vcvrack/plugins/alefsbits/res/octsclr.svg usr/lib/vcvrack/plugins/alefsbits/res/polycounter.svg usr/lib/vcvrack/plugins/alefsbits/res/polyplay.svg usr/lib/vcvrack/plugins/alefsbits/res/polyrand.svg usr/lib/vcvrack/plugins/alefsbits/res/polyshuffle.svg usr/lib/vcvrack/plugins/alefsbits/res/probablynot.svg usr/lib/vcvrack/plugins/alefsbits/res/shift.svg usr/lib/vcvrack/plugins/alefsbits/res/simplexandhold.svg usr/lib/vcvrack/plugins/alefsbits/res/slips.svg usr/lib/vcvrack/plugins/alefsbits/res/slipspander.svg usr/lib/vcvrack/plugins/alefsbits/res/steps.svg usr/lib/vcvrack/plugins/alefsbits/res/turnt.svg
x86_64vcvrack-alefsbits2.5.54-1alef's bits VCV Rack modules
Close

vcvrack-alefsbits 2.5.54-1


Architecture:x86_64
Base Package:vcvrack-alefsbits (PKGBUILD)
Description:alef's bits VCV Rack modules
Upstream URL:https://github.com/alefnull/alefsbits
Groups:proaudio
vcvrack-plugins
Download Size:345.0 kB (Download)
Installed Size:1.8 MB
License:GPL3
Build Date:2023-12-06 00:36 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/alefsbits/ usr/lib/vcvrack/plugins/alefsbits/LICENSE usr/lib/vcvrack/plugins/alefsbits/plugin.json usr/lib/vcvrack/plugins/alefsbits/plugin.so usr/lib/vcvrack/plugins/alefsbits/res/ usr/lib/vcvrack/plugins/alefsbits/res/blank6hp.svg usr/lib/vcvrack/plugins/alefsbits/res/components/ usr/lib/vcvrack/plugins/alefsbits/res/components/bitknob_bg.svg usr/lib/vcvrack/plugins/alefsbits/res/components/bitknob_fg.svg usr/lib/vcvrack/plugins/alefsbits/res/components/bitport.svg usr/lib/vcvrack/plugins/alefsbits/res/components/empty.svg usr/lib/vcvrack/plugins/alefsbits/res/components/largebitknob_bg.svg usr/lib/vcvrack/plugins/alefsbits/res/components/largebitknob_fg.svg usr/lib/vcvrack/plugins/alefsbits/res/components/logo.svg usr/lib/vcvrack/plugins/alefsbits/res/components/smallbitknob_bg.svg usr/lib/vcvrack/plugins/alefsbits/res/components/smallbitknob_fg.svg usr/lib/vcvrack/plugins/alefsbits/res/fibb.svg usr/lib/vcvrack/plugins/alefsbits/res/lights.svg usr/lib/vcvrack/plugins/alefsbits/res/logic.svg usr/lib/vcvrack/plugins/alefsbits/res/lucc.svg usr/lib/vcvrack/plugins/alefsbits/res/math.svg usr/lib/vcvrack/plugins/alefsbits/res/mlt.svg usr/lib/vcvrack/plugins/alefsbits/res/noize.svg usr/lib/vcvrack/plugins/alefsbits/res/nos.svg usr/lib/vcvrack/plugins/alefsbits/res/octsclr.svg usr/lib/vcvrack/plugins/alefsbits/res/polycounter.svg usr/lib/vcvrack/plugins/alefsbits/res/polyplay.svg usr/lib/vcvrack/plugins/alefsbits/res/polyrand.svg usr/lib/vcvrack/plugins/alefsbits/res/polyshuffle.svg usr/lib/vcvrack/plugins/alefsbits/res/probablynot.svg usr/lib/vcvrack/plugins/alefsbits/res/shift.svg usr/lib/vcvrack/plugins/alefsbits/res/simplexandhold.svg usr/lib/vcvrack/plugins/alefsbits/res/slips.svg usr/lib/vcvrack/plugins/alefsbits/res/slipspander.svg usr/lib/vcvrack/plugins/alefsbits/res/steps.svg usr/lib/vcvrack/plugins/alefsbits/res/turnt.svg
aarch64vcvrack-alikins2.0.0-1Alikins VCV Rack modules
Close

vcvrack-alikins 2.0.0-1


Architecture:aarch64
Base Package:vcvrack-alikins (PKGBUILD)
Description:Alikins VCV Rack modules
Upstream URL:https://github.com/alikins/Alikins-rack-plugins
Groups:proaudio
vcvrack-plugins
Download Size:130.5 kB (Download)
Installed Size:950.9 kB
License:GPL3
Build Date:2022-07-23 14:57 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/Alikins/ usr/lib/vcvrack/plugins/Alikins/plugin.json usr/lib/vcvrack/plugins/Alikins/plugin.so usr/lib/vcvrack/plugins/Alikins/res/ usr/lib/vcvrack/plugins/Alikins/res/AltIsOff.svg usr/lib/vcvrack/plugins/Alikins/res/AltIsOn.svg usr/lib/vcvrack/plugins/Alikins/res/BigMuteButton.svg usr/lib/vcvrack/plugins/Alikins/res/BigMuteButtonMute.svg usr/lib/vcvrack/plugins/Alikins/res/BigMuteButtonUnmute.svg usr/lib/vcvrack/plugins/Alikins/res/CtrlIsOff.svg usr/lib/vcvrack/plugins/Alikins/res/CtrlIsOn.svg usr/lib/vcvrack/plugins/Alikins/res/GateLength.svg usr/lib/vcvrack/plugins/Alikins/res/HoveredValue.svg usr/lib/vcvrack/plugins/Alikins/res/IdleSwitch.svg usr/lib/vcvrack/plugins/Alikins/res/InjectValue.svg usr/lib/vcvrack/plugins/Alikins/res/LightupButton.svg usr/lib/vcvrack/plugins/Alikins/res/LightupButtonDown.svg usr/lib/vcvrack/plugins/Alikins/res/ModIsOff.svg usr/lib/vcvrack/plugins/Alikins/res/ModIsOn.svg usr/lib/vcvrack/plugins/Alikins/res/MomentaryOnButtons.svg usr/lib/vcvrack/plugins/Alikins/res/PurplePort.svg usr/lib/vcvrack/plugins/Alikins/res/PurpleTrimpot.svg usr/lib/vcvrack/plugins/Alikins/res/Reference.svg usr/lib/vcvrack/plugins/Alikins/res/Segment7Standard.ttf usr/lib/vcvrack/plugins/Alikins/res/ShiftIsOff.svg usr/lib/vcvrack/plugins/Alikins/res/ShiftIsOn.svg usr/lib/vcvrack/plugins/Alikins/res/ShiftPedal.svg usr/lib/vcvrack/plugins/Alikins/res/SpecificValue.svg usr/lib/vcvrack/plugins/Alikins/res/SuperIsOff.svg usr/lib/vcvrack/plugins/Alikins/res/SuperIsOn.svg usr/lib/vcvrack/plugins/Alikins/res/ValueSaverPanel.svg
x86_64vcvrack-alikins2.0.0-1Alikins VCV Rack modules
Close

vcvrack-alikins 2.0.0-1


Architecture:x86_64
Base Package:vcvrack-alikins (PKGBUILD)
Description:Alikins VCV Rack modules
Upstream URL:https://github.com/alikins/Alikins-rack-plugins
Groups:proaudio
vcvrack-plugins
Download Size:178.2 kB (Download)
Installed Size:946.7 kB
License:GPL3
Build Date:2022-07-23 14:57 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/Alikins/ usr/lib/vcvrack/plugins/Alikins/plugin.json usr/lib/vcvrack/plugins/Alikins/plugin.so usr/lib/vcvrack/plugins/Alikins/res/ usr/lib/vcvrack/plugins/Alikins/res/AltIsOff.svg usr/lib/vcvrack/plugins/Alikins/res/AltIsOn.svg usr/lib/vcvrack/plugins/Alikins/res/BigMuteButton.svg usr/lib/vcvrack/plugins/Alikins/res/BigMuteButtonMute.svg usr/lib/vcvrack/plugins/Alikins/res/BigMuteButtonUnmute.svg usr/lib/vcvrack/plugins/Alikins/res/CtrlIsOff.svg usr/lib/vcvrack/plugins/Alikins/res/CtrlIsOn.svg usr/lib/vcvrack/plugins/Alikins/res/GateLength.svg usr/lib/vcvrack/plugins/Alikins/res/HoveredValue.svg usr/lib/vcvrack/plugins/Alikins/res/IdleSwitch.svg usr/lib/vcvrack/plugins/Alikins/res/InjectValue.svg usr/lib/vcvrack/plugins/Alikins/res/LightupButton.svg usr/lib/vcvrack/plugins/Alikins/res/LightupButtonDown.svg usr/lib/vcvrack/plugins/Alikins/res/ModIsOff.svg usr/lib/vcvrack/plugins/Alikins/res/ModIsOn.svg usr/lib/vcvrack/plugins/Alikins/res/MomentaryOnButtons.svg usr/lib/vcvrack/plugins/Alikins/res/PurplePort.svg usr/lib/vcvrack/plugins/Alikins/res/PurpleTrimpot.svg usr/lib/vcvrack/plugins/Alikins/res/Reference.svg usr/lib/vcvrack/plugins/Alikins/res/Segment7Standard.ttf usr/lib/vcvrack/plugins/Alikins/res/ShiftIsOff.svg usr/lib/vcvrack/plugins/Alikins/res/ShiftIsOn.svg usr/lib/vcvrack/plugins/Alikins/res/ShiftPedal.svg usr/lib/vcvrack/plugins/Alikins/res/SpecificValue.svg usr/lib/vcvrack/plugins/Alikins/res/SuperIsOff.svg usr/lib/vcvrack/plugins/Alikins/res/SuperIsOn.svg usr/lib/vcvrack/plugins/Alikins/res/ValueSaverPanel.svg
aarch64vcvrack-alliewayaudio-freebies2.0.7-1Allieway Audio free VCV Rack modules
Close

vcvrack-alliewayaudio-freebies 2.0.7-1


Architecture:aarch64
Base Package:vcvrack-alliewayaudio-freebies (PKGBUILD)
Description:Allieway Audio free VCV Rack modules
Upstream URL:https://github.com/AlliewayAudio/AlliewayAudio_Freebies
Groups:proaudio
vcvrack-plugins
Download Size:39.1 kB (Download)
Installed Size:191.1 kB
License:GPL3
Build Date:2023-09-18 14:50 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/AlliewayAudio_Freebies/ usr/lib/vcvrack/plugins/AlliewayAudio_Freebies/plugin.json usr/lib/vcvrack/plugins/AlliewayAudio_Freebies/plugin.so usr/lib/vcvrack/plugins/AlliewayAudio_Freebies/res/ usr/lib/vcvrack/plugins/AlliewayAudio_Freebies/res/chilly_cheese.svg
x86_64vcvrack-alliewayaudio-freebies2.0.7-1Allieway Audio free VCV Rack modules
Close

vcvrack-alliewayaudio-freebies 2.0.7-1


Architecture:x86_64
Base Package:vcvrack-alliewayaudio-freebies (PKGBUILD)
Description:Allieway Audio free VCV Rack modules
Upstream URL:https://github.com/AlliewayAudio/AlliewayAudio_Freebies
Groups:proaudio
vcvrack-plugins
Download Size:50.9 kB (Download)
Installed Size:191.0 kB
License:GPL3
Build Date:2023-09-18 14:50 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/AlliewayAudio_Freebies/ usr/lib/vcvrack/plugins/AlliewayAudio_Freebies/plugin.json usr/lib/vcvrack/plugins/AlliewayAudio_Freebies/plugin.so usr/lib/vcvrack/plugins/AlliewayAudio_Freebies/res/ usr/lib/vcvrack/plugins/AlliewayAudio_Freebies/res/chilly_cheese.svg
aarch64vcvrack-amalgamated-harmonics2.0.1-1Amalgamated Harmonics VCV Rack modules
Close

vcvrack-amalgamated-harmonics 2.0.1-1


Architecture:aarch64
Base Package:vcvrack-amalgamated-harmonics (PKGBUILD)
Description:Amalgamated Harmonics VCV Rack modules
Upstream URL:https://github.com/jhoar/AmalgamatedHarmonics
Groups:proaudio
vcvrack-plugins
Download Size:465.8 kB (Download)
Installed Size:3.7 MB
Licenses:Apache
BSD
OFL
Build Date:2022-12-07 17:33 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/AmalgamatedHarmonics/ usr/lib/vcvrack/plugins/AmalgamatedHarmonics/plugin.json usr/lib/vcvrack/plugins/AmalgamatedHarmonics/plugin.so usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/ usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Arp31c.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Arp32p.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Arpeggiator2.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Bombe.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Chord.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Circle.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/colourmap.json usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/ComponentLibrary/ usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/ComponentLibrary/AHBigKnob.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/ComponentLibrary/AHButton.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/ComponentLibrary/AHHugeKnob.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/ComponentLibrary/AHJack.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/ComponentLibrary/AHKnob.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/ComponentLibrary/AHTrimpot.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/DSEG14ClassicMini-BoldItalic.ttf usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Galaxy.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Generative.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Imp.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Imperfect2.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/MuxDeMux.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/PolyProbe.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/PolyScope.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/PolyUtils.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/PolyVolt.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Progress.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Progress2.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Roboto-Light.ttf usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/RobotoCondensed-Bold.ttf usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Ruckus.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/ScaleQuantizer.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/ScaleQuantizerMkII.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/SLN.svg usr/share/ usr/share/licenses/ usr/share/licenses/vcvrack-amalgamated-harmonics/ usr/share/licenses/vcvrack-amalgamated-harmonics/DSEG-LICENSE.txt usr/share/licenses/vcvrack-amalgamated-harmonics/LICENSE usr/share/licenses/vcvrack-amalgamated-harmonics/ROBOTO-LICENSE.txt
x86_64vcvrack-amalgamated-harmonics2.0.1-1Amalgamated Harmonics VCV Rack modules
Close

vcvrack-amalgamated-harmonics 2.0.1-1


Architecture:x86_64
Base Package:vcvrack-amalgamated-harmonics (PKGBUILD)
Description:Amalgamated Harmonics VCV Rack modules
Upstream URL:https://github.com/jhoar/AmalgamatedHarmonics
Groups:proaudio
vcvrack-plugins
Download Size:655.5 kB (Download)
Installed Size:3.7 MB
Licenses:Apache
BSD
OFL
Build Date:2022-12-07 17:33 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/AmalgamatedHarmonics/ usr/lib/vcvrack/plugins/AmalgamatedHarmonics/plugin.json usr/lib/vcvrack/plugins/AmalgamatedHarmonics/plugin.so usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/ usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Arp31c.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Arp32p.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Arpeggiator2.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Bombe.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Chord.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Circle.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/colourmap.json usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/ComponentLibrary/ usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/ComponentLibrary/AHBigKnob.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/ComponentLibrary/AHButton.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/ComponentLibrary/AHHugeKnob.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/ComponentLibrary/AHJack.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/ComponentLibrary/AHKnob.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/ComponentLibrary/AHTrimpot.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/DSEG14ClassicMini-BoldItalic.ttf usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Galaxy.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Generative.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Imp.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Imperfect2.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/MuxDeMux.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/PolyProbe.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/PolyScope.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/PolyUtils.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/PolyVolt.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Progress.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Progress2.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Roboto-Light.ttf usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/RobotoCondensed-Bold.ttf usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/Ruckus.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/ScaleQuantizer.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/ScaleQuantizerMkII.svg usr/lib/vcvrack/plugins/AmalgamatedHarmonics/res/SLN.svg usr/share/ usr/share/licenses/ usr/share/licenses/vcvrack-amalgamated-harmonics/ usr/share/licenses/vcvrack-amalgamated-harmonics/DSEG-LICENSE.txt usr/share/licenses/vcvrack-amalgamated-harmonics/LICENSE usr/share/licenses/vcvrack-amalgamated-harmonics/ROBOTO-LICENSE.txt
aarch64vcvrack-aridacity2.0.0-1Aridacity VCV Rack modules
Close

vcvrack-aridacity 2.0.0-1


Architecture:aarch64
Base Package:vcvrack-aridacity (PKGBUILD)
Description:Aridacity VCV Rack modules
Upstream URL:https://github.com/cubeleco/aridacityVCV
Groups:proaudio
vcvrack-plugins
Download Size:49.9 kB (Download)
Installed Size:316.1 kB
License:BSD
Build Date:2022-12-07 17:33 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/aridacity/ usr/lib/vcvrack/plugins/aridacity/plugin.json usr/lib/vcvrack/plugins/aridacity/plugin.so usr/lib/vcvrack/plugins/aridacity/res/ usr/lib/vcvrack/plugins/aridacity/res/BCrush.svg usr/lib/vcvrack/plugins/aridacity/res/Clip.svg usr/lib/vcvrack/plugins/aridacity/res/ClockDiv.svg usr/lib/vcvrack/plugins/aridacity/res/ComponentLibrary/ usr/lib/vcvrack/plugins/aridacity/res/ComponentLibrary/LEDSwitchToggle.svg usr/lib/vcvrack/plugins/aridacity/res/ComponentLibrary/smallBlackPort.svg usr/lib/vcvrack/plugins/aridacity/res/ComponentLibrary/smallWhiteKnob.svg usr/lib/vcvrack/plugins/aridacity/res/ComponentLibrary/smallWhitePort.svg usr/lib/vcvrack/plugins/aridacity/res/ComponentLibrary/smallWhiteSwitch0.svg usr/lib/vcvrack/plugins/aridacity/res/ComponentLibrary/smallWhiteSwitch1.svg usr/lib/vcvrack/plugins/aridacity/res/ComponentLibrary/whiteKnob.svg usr/lib/vcvrack/plugins/aridacity/res/ComponentLibrary/whiteSwitch0.svg usr/lib/vcvrack/plugins/aridacity/res/ComponentLibrary/whiteSwitch1.svg usr/lib/vcvrack/plugins/aridacity/res/ComponentLibrary/whiteSwitch2.svg usr/share/ usr/share/licenses/ usr/share/licenses/vcvrack-aridacity/ usr/share/licenses/vcvrack-aridacity/LICENSE
x86_64vcvrack-aridacity2.0.0-1Aridacity VCV Rack modules
Close

vcvrack-aridacity 2.0.0-1


Architecture:x86_64
Base Package:vcvrack-aridacity (PKGBUILD)
Description:Aridacity VCV Rack modules
Upstream URL:https://github.com/cubeleco/aridacityVCV
Groups:proaudio
vcvrack-plugins
Download Size:65.6 kB (Download)
Installed Size:316.0 kB
License:BSD
Build Date:2022-12-07 17:33 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/aridacity/ usr/lib/vcvrack/plugins/aridacity/plugin.json usr/lib/vcvrack/plugins/aridacity/plugin.so usr/lib/vcvrack/plugins/aridacity/res/ usr/lib/vcvrack/plugins/aridacity/res/BCrush.svg usr/lib/vcvrack/plugins/aridacity/res/Clip.svg usr/lib/vcvrack/plugins/aridacity/res/ClockDiv.svg usr/lib/vcvrack/plugins/aridacity/res/ComponentLibrary/ usr/lib/vcvrack/plugins/aridacity/res/ComponentLibrary/LEDSwitchToggle.svg usr/lib/vcvrack/plugins/aridacity/res/ComponentLibrary/smallBlackPort.svg usr/lib/vcvrack/plugins/aridacity/res/ComponentLibrary/smallWhiteKnob.svg usr/lib/vcvrack/plugins/aridacity/res/ComponentLibrary/smallWhitePort.svg usr/lib/vcvrack/plugins/aridacity/res/ComponentLibrary/smallWhiteSwitch0.svg usr/lib/vcvrack/plugins/aridacity/res/ComponentLibrary/smallWhiteSwitch1.svg usr/lib/vcvrack/plugins/aridacity/res/ComponentLibrary/whiteKnob.svg usr/lib/vcvrack/plugins/aridacity/res/ComponentLibrary/whiteSwitch0.svg usr/lib/vcvrack/plugins/aridacity/res/ComponentLibrary/whiteSwitch1.svg usr/lib/vcvrack/plugins/aridacity/res/ComponentLibrary/whiteSwitch2.svg usr/share/ usr/share/licenses/ usr/share/licenses/vcvrack-aridacity/ usr/share/licenses/vcvrack-aridacity/LICENSE
aarch64vcvrack-axioma2.0.0-1Axioma VCV Rack modules
Close

vcvrack-axioma 2.0.0-1


Architecture:aarch64
Base Package:vcvrack-axioma (PKGBUILD)
Description:Axioma VCV Rack modules
Upstream URL:https://github.com/kauewerner/Axioma
Groups:proaudio
vcvrack-plugins
Download Size:77.5 kB (Download)
Installed Size:837.8 kB
License:GPL3
Build Date:2022-12-07 17:33 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/Axioma/ usr/lib/vcvrack/plugins/Axioma/plugin.json usr/lib/vcvrack/plugins/Axioma/plugin.so usr/lib/vcvrack/plugins/Axioma/res/ usr/lib/vcvrack/plugins/Axioma/res/AxiomaKnob.svg usr/lib/vcvrack/plugins/Axioma/res/AxiomaPort.svg usr/lib/vcvrack/plugins/Axioma/res/Ikeda.svg usr/lib/vcvrack/plugins/Axioma/res/Rhodonea.svg usr/lib/vcvrack/plugins/Axioma/res/Tesseract.svg usr/lib/vcvrack/plugins/Axioma/res/TheBifurcator.svg
x86_64vcvrack-axioma2.0.0-1Axioma VCV Rack modules
Close

vcvrack-axioma 2.0.0-1


Architecture:x86_64
Base Package:vcvrack-axioma (PKGBUILD)
Description:Axioma VCV Rack modules
Upstream URL:https://github.com/kauewerner/Axioma
Groups:proaudio
vcvrack-plugins
Download Size:105.0 kB (Download)
Installed Size:829.5 kB
License:GPL3
Build Date:2022-12-07 17:33 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/Axioma/ usr/lib/vcvrack/plugins/Axioma/plugin.json usr/lib/vcvrack/plugins/Axioma/plugin.so usr/lib/vcvrack/plugins/Axioma/res/ usr/lib/vcvrack/plugins/Axioma/res/AxiomaKnob.svg usr/lib/vcvrack/plugins/Axioma/res/AxiomaPort.svg usr/lib/vcvrack/plugins/Axioma/res/Ikeda.svg usr/lib/vcvrack/plugins/Axioma/res/Rhodonea.svg usr/lib/vcvrack/plugins/Axioma/res/Tesseract.svg usr/lib/vcvrack/plugins/Axioma/res/TheBifurcator.svg
aarch64vcvrack-bastl2.0.0-1Bastl VCV Rack modules
Close

vcvrack-bastl 2.0.0-1


Architecture:aarch64
Base Package:vcvrack-bastl (PKGBUILD)
Description:Bastl VCV Rack modules
Upstream URL:https://github.com/hemmer/bastl-vcv
Groups:proaudio
vcvrack-plugins
Download Size:43.9 kB (Download)
Installed Size:203.9 kB
License:GPL3
Build Date:2022-12-07 17:33 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/Bastl/ usr/lib/vcvrack/plugins/Bastl/LICENSE.md usr/lib/vcvrack/plugins/Bastl/plugin.json usr/lib/vcvrack/plugins/Bastl/plugin.so usr/lib/vcvrack/plugins/Bastl/res/ usr/lib/vcvrack/plugins/Bastl/res/Kompas.svg
x86_64vcvrack-bastl2.0.0-1Bastl VCV Rack modules
Close

vcvrack-bastl 2.0.0-1


Architecture:x86_64
Base Package:vcvrack-bastl (PKGBUILD)
Description:Bastl VCV Rack modules
Upstream URL:https://github.com/hemmer/bastl-vcv
Groups:proaudio
vcvrack-plugins
Download Size:56.6 kB (Download)
Installed Size:199.7 kB
License:GPL3
Build Date:2022-12-07 17:33 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/Bastl/ usr/lib/vcvrack/plugins/Bastl/LICENSE.md usr/lib/vcvrack/plugins/Bastl/plugin.json usr/lib/vcvrack/plugins/Bastl/plugin.so usr/lib/vcvrack/plugins/Bastl/res/ usr/lib/vcvrack/plugins/Bastl/res/Kompas.svg
aarch64vcvrack-collection-one2.0.4-1Collection One VCV Rack modules
Close

vcvrack-collection-one 2.0.4-1


Architecture:aarch64
Base Package:vcvrack-collection-one (PKGBUILD)
Description:Collection One VCV Rack modules
Upstream URL:https://github.com/scanner-darkly/vcv-collection-one
Groups:proaudio
vcvrack-plugins
Download Size:63.8 kB (Download)
Installed Size:1.6 MB
License:GPL3
Build Date:2022-07-23 14:57 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/scanner-darkly-collection-one/ usr/lib/vcvrack/plugins/scanner-darkly-collection-one/plugin.json usr/lib/vcvrack/plugins/scanner-darkly-collection-one/plugin.so usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/ usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/sd_button1.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/sd_button2.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/sd_knob3.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/sd_port.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/sd_scale_o2_1.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/sd_scale_off_1.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/sd_scale_on_1.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/sd_switch1_1_.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/sd_switch1_2_.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/SDOrcasHeart.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/SDOrcasHeart2.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/SDOrcasHeart3.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/SDOrcasHeart4.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/SDOrcasHeart5.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/SDOrcasHeart6.svg
x86_64vcvrack-collection-one2.0.4-1Collection One VCV Rack modules
Close

vcvrack-collection-one 2.0.4-1


Architecture:x86_64
Base Package:vcvrack-collection-one (PKGBUILD)
Description:Collection One VCV Rack modules
Upstream URL:https://github.com/scanner-darkly/vcv-collection-one
Groups:proaudio
vcvrack-plugins
Download Size:87.9 kB (Download)
Installed Size:1.6 MB
License:GPL3
Build Date:2022-07-23 14:57 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/scanner-darkly-collection-one/ usr/lib/vcvrack/plugins/scanner-darkly-collection-one/plugin.json usr/lib/vcvrack/plugins/scanner-darkly-collection-one/plugin.so usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/ usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/sd_button1.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/sd_button2.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/sd_knob3.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/sd_port.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/sd_scale_o2_1.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/sd_scale_off_1.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/sd_scale_on_1.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/sd_switch1_1_.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/sd_switch1_2_.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/SDOrcasHeart.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/SDOrcasHeart2.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/SDOrcasHeart3.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/SDOrcasHeart4.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/SDOrcasHeart5.svg usr/lib/vcvrack/plugins/scanner-darkly-collection-one/res/SDOrcasHeart6.svg
aarch64vcvrack-computerscare2.1.11-1Computerscare VCV Rack modules
Close

vcvrack-computerscare 2.1.11-1


Architecture:aarch64
Base Package:vcvrack-computerscare (PKGBUILD)
Description:Computerscare VCV Rack modules
Upstream URL:https://github.com/freddyz/computerscare-vcv-modules
Groups:proaudio
vcvrack-plugins
Download Size:675.4 kB (Download)
Installed Size:3.0 MB
License:BSD-3-Clause
Build Date:2024-06-16 15:55 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/computerscare/ usr/lib/vcvrack/plugins/computerscare/plugin.json usr/lib/vcvrack/plugins/computerscare/plugin.so usr/lib/vcvrack/plugins/computerscare/presets/ usr/lib/vcvrack/plugins/computerscare/presets/computerscare-boly-puttons/ usr/lib/vcvrack/plugins/computerscare/presets/computerscare-boly-puttons/All A.vcvm usr/lib/vcvrack/plugins/computerscare/presets/computerscare-boly-puttons/All B.vcvm usr/lib/vcvrack/plugins/computerscare/presets/computerscare-laundry-soup/ usr/lib/vcvrack/plugins/computerscare/presets/computerscare-laundry-soup/6-Channel Random Trigger.vcvm usr/lib/vcvrack/plugins/computerscare/presets/computerscare-laundry-soup/Integer Clock Divider.vcvm usr/lib/vcvrack/plugins/computerscare/presets/computerscare-laundry-soup/Jingle Bells.vcvm usr/lib/vcvrack/plugins/computerscare/presets/computerscare-roly-pouter/ usr/lib/vcvrack/plugins/computerscare/presets/computerscare-roly-pouter/Reverse Channel Order.vcvm usr/lib/vcvrack/plugins/computerscare/res/ usr/lib/vcvrack/plugins/computerscare/res/09 Output Plug.svg usr/lib/vcvrack/plugins/computerscare/res/bad-gif.gif usr/lib/vcvrack/plugins/computerscare/res/blank-clock-mode-frame.svg usr/lib/vcvrack/plugins/computerscare/res/blank-clock-mode-scan.svg usr/lib/vcvrack/plugins/computerscare/res/blank-clock-mode-sync.svg usr/lib/vcvrack/plugins/computerscare/res/broken-file.gif usr/lib/vcvrack/plugins/computerscare/res/computerscare-big-knob-effed.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-channels-empty-knob-auto-mode.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-channels-empty-knob.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-CLEAR-BUTTON-DOWN.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-CLEAR-BUTTON-UP.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-clk-text-red.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-clk-text.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-invisible-button-frame2.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-invisible-button.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-iso-button-dn.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-iso-button-down.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-iso-button-small-down-grey.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-iso-button-small-down.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-iso-button-small-up-grey.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-iso-button-small-up.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-iso-button-up.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-logo-normal.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-logo-sad.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-medium-knob-disabled.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-medium-knob-dot-indicator-disabled.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-medium-knob-dot-indicator.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-medium-knob-effed.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-medium-small-knob-disabled.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-medium-small-knob.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-next-button-down.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-next-button.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-pentagon-jack-1-outline-flipped.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-pentagon-jack-1-outline.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-pentagon-jack-pointing-up.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-rst-text-red.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-rst-text.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-scramble-knob.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-small-knob-effed-dark.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-small-knob-effed-disabled.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-small-knob-effed.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareBolyPuttonsPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareColyProp.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareCustomBlankExpanderPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareCustomBlankPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareDebugPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareDrolyPawPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareFolyPacePanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareGolyPeneratorPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareHorseADoodleDooPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareILoveCookiesPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareIsoPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareKnolyPobsPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareLaundrySoupPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareMolyPatrixPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareOhPeasPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscarePatchSequencerPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareRolyPouterPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareSolyPequencerPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareStolyFickPigurePanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareTolyPoolsPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareTotallyEmptyPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareUnknown.svg usr/lib/vcvrack/plugins/computerscare/res/debug-clock-selector-4way-template.svg usr/lib/vcvrack/plugins/computerscare/res/iso-3way-1.svg usr/lib/vcvrack/plugins/computerscare/res/iso-3way-2.svg usr/lib/vcvrack/plugins/computerscare/res/iso-3way-3.svg usr/lib/vcvrack/plugins/computerscare/res/iso-3way-template.svg usr/lib/vcvrack/plugins/computerscare/res/Oswald-Regular.ttf usr/lib/vcvrack/plugins/computerscare/res/Segment7Standard.ttf usr/lib/vcvrack/plugins/computerscare/res/tiny-jack.svg usr/lib/vcvrack/plugins/computerscare/res/vertical-x-1.svg usr/lib/vcvrack/plugins/computerscare/res/vertical-x-2.svg usr/lib/vcvrack/plugins/computerscare/res/vertical-x-3.svg usr/share/ usr/share/licenses/ usr/share/licenses/vcvrack-computerscare/ usr/share/licenses/vcvrack-computerscare/LICENSE.txt
x86_64vcvrack-computerscare2.1.11-1Computerscare VCV Rack modules
Close

vcvrack-computerscare 2.1.11-1


Architecture:x86_64
Base Package:vcvrack-computerscare (PKGBUILD)
Description:Computerscare VCV Rack modules
Upstream URL:https://github.com/freddyz/computerscare-vcv-modules
Groups:proaudio
vcvrack-plugins
Download Size:673.5 kB (Download)
Installed Size:2.5 MB
License:BSD-3-Clause
Build Date:2024-06-16 15:55 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/computerscare/ usr/lib/vcvrack/plugins/computerscare/plugin.json usr/lib/vcvrack/plugins/computerscare/plugin.so usr/lib/vcvrack/plugins/computerscare/presets/ usr/lib/vcvrack/plugins/computerscare/presets/computerscare-boly-puttons/ usr/lib/vcvrack/plugins/computerscare/presets/computerscare-boly-puttons/All A.vcvm usr/lib/vcvrack/plugins/computerscare/presets/computerscare-boly-puttons/All B.vcvm usr/lib/vcvrack/plugins/computerscare/presets/computerscare-laundry-soup/ usr/lib/vcvrack/plugins/computerscare/presets/computerscare-laundry-soup/6-Channel Random Trigger.vcvm usr/lib/vcvrack/plugins/computerscare/presets/computerscare-laundry-soup/Integer Clock Divider.vcvm usr/lib/vcvrack/plugins/computerscare/presets/computerscare-laundry-soup/Jingle Bells.vcvm usr/lib/vcvrack/plugins/computerscare/presets/computerscare-roly-pouter/ usr/lib/vcvrack/plugins/computerscare/presets/computerscare-roly-pouter/Reverse Channel Order.vcvm usr/lib/vcvrack/plugins/computerscare/res/ usr/lib/vcvrack/plugins/computerscare/res/09 Output Plug.svg usr/lib/vcvrack/plugins/computerscare/res/bad-gif.gif usr/lib/vcvrack/plugins/computerscare/res/blank-clock-mode-frame.svg usr/lib/vcvrack/plugins/computerscare/res/blank-clock-mode-scan.svg usr/lib/vcvrack/plugins/computerscare/res/blank-clock-mode-sync.svg usr/lib/vcvrack/plugins/computerscare/res/broken-file.gif usr/lib/vcvrack/plugins/computerscare/res/computerscare-big-knob-effed.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-channels-empty-knob-auto-mode.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-channels-empty-knob.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-CLEAR-BUTTON-DOWN.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-CLEAR-BUTTON-UP.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-clk-text-red.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-clk-text.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-invisible-button-frame2.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-invisible-button.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-iso-button-dn.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-iso-button-down.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-iso-button-small-down-grey.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-iso-button-small-down.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-iso-button-small-up-grey.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-iso-button-small-up.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-iso-button-up.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-logo-normal.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-logo-sad.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-medium-knob-disabled.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-medium-knob-dot-indicator-disabled.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-medium-knob-dot-indicator.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-medium-knob-effed.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-medium-small-knob-disabled.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-medium-small-knob.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-next-button-down.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-next-button.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-pentagon-jack-1-outline-flipped.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-pentagon-jack-1-outline.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-pentagon-jack-pointing-up.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-rst-text-red.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-rst-text.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-scramble-knob.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-small-knob-effed-dark.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-small-knob-effed-disabled.svg usr/lib/vcvrack/plugins/computerscare/res/computerscare-small-knob-effed.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareBolyPuttonsPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareColyProp.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareCustomBlankExpanderPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareCustomBlankPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareDebugPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareDrolyPawPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareFolyPacePanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareGolyPeneratorPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareHorseADoodleDooPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareILoveCookiesPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareIsoPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareKnolyPobsPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareLaundrySoupPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareMolyPatrixPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareOhPeasPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscarePatchSequencerPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareRolyPouterPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareSolyPequencerPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareStolyFickPigurePanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareTolyPoolsPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareTotallyEmptyPanel.svg usr/lib/vcvrack/plugins/computerscare/res/ComputerscareUnknown.svg usr/lib/vcvrack/plugins/computerscare/res/debug-clock-selector-4way-template.svg usr/lib/vcvrack/plugins/computerscare/res/iso-3way-1.svg usr/lib/vcvrack/plugins/computerscare/res/iso-3way-2.svg usr/lib/vcvrack/plugins/computerscare/res/iso-3way-3.svg usr/lib/vcvrack/plugins/computerscare/res/iso-3way-template.svg usr/lib/vcvrack/plugins/computerscare/res/Oswald-Regular.ttf usr/lib/vcvrack/plugins/computerscare/res/Segment7Standard.ttf usr/lib/vcvrack/plugins/computerscare/res/tiny-jack.svg usr/lib/vcvrack/plugins/computerscare/res/vertical-x-1.svg usr/lib/vcvrack/plugins/computerscare/res/vertical-x-2.svg usr/lib/vcvrack/plugins/computerscare/res/vertical-x-3.svg usr/share/ usr/share/licenses/ usr/share/licenses/vcvrack-computerscare/ usr/share/licenses/vcvrack-computerscare/LICENSE.txt
aarch64vcvrack-cvly2.0.1-1Cvly VCV Rack modules
Close

vcvrack-cvly 2.0.1-1


Architecture:aarch64
Base Package:vcvrack-cvly (PKGBUILD)
Description:Cvly VCV Rack modules
Upstream URL:https://github.com/Lyqst/cvly-modules
Groups:proaudio
vcvrack-plugins
Download Size:131.8 kB (Download)
Installed Size:799.9 kB
License:GPL3
Build Date:2022-07-23 14:57 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/cvly/ usr/lib/vcvrack/plugins/cvly/plugin.json usr/lib/vcvrack/plugins/cvly/plugin.so usr/lib/vcvrack/plugins/cvly/res/ usr/lib/vcvrack/plugins/cvly/res/brst.svg usr/lib/vcvrack/plugins/cvly/res/bss.svg usr/lib/vcvrack/plugins/cvly/res/buttonM0.svg usr/lib/vcvrack/plugins/cvly/res/buttonM1.svg usr/lib/vcvrack/plugins/cvly/res/buttonS0.svg usr/lib/vcvrack/plugins/cvly/res/buttonS1.svg usr/lib/vcvrack/plugins/cvly/res/crcl.svg usr/lib/vcvrack/plugins/cvly/res/fonts/ usr/lib/vcvrack/plugins/cvly/res/fonts/ninepin.regular.ttf usr/lib/vcvrack/plugins/cvly/res/knob.svg usr/lib/vcvrack/plugins/cvly/res/knobS.svg usr/lib/vcvrack/plugins/cvly/res/ntrvlc.svg usr/lib/vcvrack/plugins/cvly/res/ntrvlx.svg usr/lib/vcvrack/plugins/cvly/res/port-out.svg usr/lib/vcvrack/plugins/cvly/res/port.svg usr/lib/vcvrack/plugins/cvly/res/screw.svg usr/lib/vcvrack/plugins/cvly/res/spc.svg usr/lib/vcvrack/plugins/cvly/res/stpr.svg usr/lib/vcvrack/plugins/cvly/res/txt.svg usr/lib/vcvrack/plugins/cvly/res/vbrt.svg usr/lib/vcvrack/plugins/cvly/res/whl.svg
x86_64vcvrack-cvly2.0.1-1Cvly VCV Rack modules
Close

vcvrack-cvly 2.0.1-1


Architecture:x86_64
Base Package:vcvrack-cvly (PKGBUILD)
Description:Cvly VCV Rack modules
Upstream URL:https://github.com/Lyqst/cvly-modules
Groups:proaudio
vcvrack-plugins
Download Size:184.3 kB (Download)
Installed Size:799.8 kB
License:GPL3
Build Date:2022-07-23 14:57 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/cvly/ usr/lib/vcvrack/plugins/cvly/plugin.json usr/lib/vcvrack/plugins/cvly/plugin.so usr/lib/vcvrack/plugins/cvly/res/ usr/lib/vcvrack/plugins/cvly/res/brst.svg usr/lib/vcvrack/plugins/cvly/res/bss.svg usr/lib/vcvrack/plugins/cvly/res/buttonM0.svg usr/lib/vcvrack/plugins/cvly/res/buttonM1.svg usr/lib/vcvrack/plugins/cvly/res/buttonS0.svg usr/lib/vcvrack/plugins/cvly/res/buttonS1.svg usr/lib/vcvrack/plugins/cvly/res/crcl.svg usr/lib/vcvrack/plugins/cvly/res/fonts/ usr/lib/vcvrack/plugins/cvly/res/fonts/ninepin.regular.ttf usr/lib/vcvrack/plugins/cvly/res/knob.svg usr/lib/vcvrack/plugins/cvly/res/knobS.svg usr/lib/vcvrack/plugins/cvly/res/ntrvlc.svg usr/lib/vcvrack/plugins/cvly/res/ntrvlx.svg usr/lib/vcvrack/plugins/cvly/res/port-out.svg usr/lib/vcvrack/plugins/cvly/res/port.svg usr/lib/vcvrack/plugins/cvly/res/screw.svg usr/lib/vcvrack/plugins/cvly/res/spc.svg usr/lib/vcvrack/plugins/cvly/res/stpr.svg usr/lib/vcvrack/plugins/cvly/res/txt.svg usr/lib/vcvrack/plugins/cvly/res/vbrt.svg usr/lib/vcvrack/plugins/cvly/res/whl.svg
aarch64vcvrack-freesurface2.1.4-1Casual Systems/Free Surface VCV Rack modules
Close

vcvrack-freesurface 2.1.4-1


Architecture:aarch64
Base Package:vcvrack-freesurface (PKGBUILD)
Description:Casual Systems/Free Surface VCV Rack modules
Upstream URL:https://github.com/freesurfacemodules/FreeSurface
Groups:proaudio
vcvrack-plugins
Download Size:378.1 kB (Download)
Installed Size:2.6 MB
License:GPL-3.0-only
Build Date:2024-10-19 18:59 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/FreeSurface/ usr/lib/vcvrack/plugins/FreeSurface/plugin.json usr/lib/vcvrack/plugins/FreeSurface/plugin.so usr/lib/vcvrack/plugins/FreeSurface/res/ usr/lib/vcvrack/plugins/FreeSurface/res/BigBlobKnob.svg usr/lib/vcvrack/plugins/FreeSurface/res/Causality.svg usr/lib/vcvrack/plugins/FreeSurface/res/fixedsys-excelsior-301.ttf usr/lib/vcvrack/plugins/FreeSurface/res/FreeSurfaceLogoButtonDownDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/FreeSurfaceLogoButtonUpDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/LeakyIntegrator.svg usr/lib/vcvrack/plugins/FreeSurface/res/Means.svg usr/lib/vcvrack/plugins/FreeSurface/res/MediumBigBlobKnob.svg usr/lib/vcvrack/plugins/FreeSurface/res/MediumSmallBlobKnob.svg usr/lib/vcvrack/plugins/FreeSurface/res/Norms.svg usr/lib/vcvrack/plugins/FreeSurface/res/PinkPort.svg usr/lib/vcvrack/plugins/FreeSurface/res/RedCrossPort.svg usr/lib/vcvrack/plugins/FreeSurface/res/RedPort.svg usr/lib/vcvrack/plugins/FreeSurface/res/SmallBlobKnob.svg usr/lib/vcvrack/plugins/FreeSurface/res/Vektronix.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixBigKnob.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixBigKnobCap.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixBigKnobCapDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixBigKnobCapIndicatorDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixBigKnobDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixButtonDown.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixButtonDownDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixButtonUp.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixButtonUpDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixDiskLightOff.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixDiskLightOn.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixPort.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixPortBorderlessDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixRoundButtonDownDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixRoundButtonUpDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixSmallKnob.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixSmallKnobCap.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixSmallKnobCapDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixSmallKnobCapIndicatorDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixSmallKnobDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixTinyKnobCapDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixTinyKnobCapIndicatorDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixTinyKnobDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/WaterTable.svg
x86_64vcvrack-freesurface2.1.4-1Casual Systems/Free Surface VCV Rack modules
Close

vcvrack-freesurface 2.1.4-1


Architecture:x86_64
Base Package:vcvrack-freesurface (PKGBUILD)
Description:Casual Systems/Free Surface VCV Rack modules
Upstream URL:https://github.com/freesurfacemodules/FreeSurface
Groups:proaudio
vcvrack-plugins
Download Size:513.1 kB (Download)
Installed Size:2.5 MB
License:GPL-3.0-only
Build Date:2024-10-19 18:59 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/FreeSurface/ usr/lib/vcvrack/plugins/FreeSurface/plugin.json usr/lib/vcvrack/plugins/FreeSurface/plugin.so usr/lib/vcvrack/plugins/FreeSurface/res/ usr/lib/vcvrack/plugins/FreeSurface/res/BigBlobKnob.svg usr/lib/vcvrack/plugins/FreeSurface/res/Causality.svg usr/lib/vcvrack/plugins/FreeSurface/res/fixedsys-excelsior-301.ttf usr/lib/vcvrack/plugins/FreeSurface/res/FreeSurfaceLogoButtonDownDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/FreeSurfaceLogoButtonUpDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/LeakyIntegrator.svg usr/lib/vcvrack/plugins/FreeSurface/res/Means.svg usr/lib/vcvrack/plugins/FreeSurface/res/MediumBigBlobKnob.svg usr/lib/vcvrack/plugins/FreeSurface/res/MediumSmallBlobKnob.svg usr/lib/vcvrack/plugins/FreeSurface/res/Norms.svg usr/lib/vcvrack/plugins/FreeSurface/res/PinkPort.svg usr/lib/vcvrack/plugins/FreeSurface/res/RedCrossPort.svg usr/lib/vcvrack/plugins/FreeSurface/res/RedPort.svg usr/lib/vcvrack/plugins/FreeSurface/res/SmallBlobKnob.svg usr/lib/vcvrack/plugins/FreeSurface/res/Vektronix.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixBigKnob.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixBigKnobCap.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixBigKnobCapDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixBigKnobCapIndicatorDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixBigKnobDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixButtonDown.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixButtonDownDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixButtonUp.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixButtonUpDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixDiskLightOff.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixDiskLightOn.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixPort.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixPortBorderlessDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixRoundButtonDownDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixRoundButtonUpDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixSmallKnob.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixSmallKnobCap.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixSmallKnobCapDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixSmallKnobCapIndicatorDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixSmallKnobDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixTinyKnobCapDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixTinyKnobCapIndicatorDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/VektronixTinyKnobDark.svg usr/lib/vcvrack/plugins/FreeSurface/res/WaterTable.svg
aarch64vcvrack-goodsheperd2.0.0-1GoodSheperd VCV Rack modules
Close

vcvrack-goodsheperd 2.0.0-1


Architecture:aarch64
Base Package:vcvrack-goodsheperd (PKGBUILD)
Description:GoodSheperd VCV Rack modules
Upstream URL:https://github.com/jensschulze/GoodSheperd
Groups:proaudio
vcvrack-plugins
Download Size:99.3 kB (Download)
Installed Size:770.0 kB
License:GPL3
Build Date:2022-07-23 14:57 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/GoodSheperd/ usr/lib/vcvrack/plugins/GoodSheperd/plugin.json usr/lib/vcvrack/plugins/GoodSheperd/plugin.so usr/lib/vcvrack/plugins/GoodSheperd/res/ usr/lib/vcvrack/plugins/GoodSheperd/res/components/ usr/lib/vcvrack/plugins/GoodSheperd/res/components/ArrowDown_0.svg usr/lib/vcvrack/plugins/GoodSheperd/res/components/ArrowDown_1.svg usr/lib/vcvrack/plugins/GoodSheperd/res/components/ArrowLeft_0.svg usr/lib/vcvrack/plugins/GoodSheperd/res/components/ArrowLeft_1.svg usr/lib/vcvrack/plugins/GoodSheperd/res/components/ArrowRight_0.svg usr/lib/vcvrack/plugins/GoodSheperd/res/components/ArrowRight_1.svg usr/lib/vcvrack/plugins/GoodSheperd/res/components/ArrowUp_0.svg usr/lib/vcvrack/plugins/GoodSheperd/res/components/ArrowUp_1.svg usr/lib/vcvrack/plugins/GoodSheperd/res/components/SquareSwitch_0.svg usr/lib/vcvrack/plugins/GoodSheperd/res/components/SquareSwitch_1.svg usr/lib/vcvrack/plugins/GoodSheperd/res/Holzschnabel.svg usr/lib/vcvrack/plugins/GoodSheperd/res/Hurdle.svg usr/lib/vcvrack/plugins/GoodSheperd/res/SEQ3st.svg usr/lib/vcvrack/plugins/GoodSheperd/res/Seqtrol.svg usr/lib/vcvrack/plugins/GoodSheperd/res/Stable16.svg usr/lib/vcvrack/plugins/GoodSheperd/res/Stall.svg usr/lib/vcvrack/plugins/GoodSheperd/res/Switch1.svg usr/share/ usr/share/licenses/ usr/share/licenses/vcvrack-goodsheperd/ usr/share/licenses/vcvrack-goodsheperd/LICENSE-dist.txt
x86_64vcvrack-goodsheperd2.0.0-1GoodSheperd VCV Rack modules
Close

vcvrack-goodsheperd 2.0.0-1


Architecture:x86_64
Base Package:vcvrack-goodsheperd (PKGBUILD)
Description:GoodSheperd VCV Rack modules
Upstream URL:https://github.com/jensschulze/GoodSheperd
Groups:proaudio
vcvrack-plugins
Download Size:130.8 kB (Download)
Installed Size:765.8 kB
License:GPL3
Build Date:2022-07-23 14:57 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/GoodSheperd/ usr/lib/vcvrack/plugins/GoodSheperd/plugin.json usr/lib/vcvrack/plugins/GoodSheperd/plugin.so usr/lib/vcvrack/plugins/GoodSheperd/res/ usr/lib/vcvrack/plugins/GoodSheperd/res/components/ usr/lib/vcvrack/plugins/GoodSheperd/res/components/ArrowDown_0.svg usr/lib/vcvrack/plugins/GoodSheperd/res/components/ArrowDown_1.svg usr/lib/vcvrack/plugins/GoodSheperd/res/components/ArrowLeft_0.svg usr/lib/vcvrack/plugins/GoodSheperd/res/components/ArrowLeft_1.svg usr/lib/vcvrack/plugins/GoodSheperd/res/components/ArrowRight_0.svg usr/lib/vcvrack/plugins/GoodSheperd/res/components/ArrowRight_1.svg usr/lib/vcvrack/plugins/GoodSheperd/res/components/ArrowUp_0.svg usr/lib/vcvrack/plugins/GoodSheperd/res/components/ArrowUp_1.svg usr/lib/vcvrack/plugins/GoodSheperd/res/components/SquareSwitch_0.svg usr/lib/vcvrack/plugins/GoodSheperd/res/components/SquareSwitch_1.svg usr/lib/vcvrack/plugins/GoodSheperd/res/Holzschnabel.svg usr/lib/vcvrack/plugins/GoodSheperd/res/Hurdle.svg usr/lib/vcvrack/plugins/GoodSheperd/res/SEQ3st.svg usr/lib/vcvrack/plugins/GoodSheperd/res/Seqtrol.svg usr/lib/vcvrack/plugins/GoodSheperd/res/Stable16.svg usr/lib/vcvrack/plugins/GoodSheperd/res/Stall.svg usr/lib/vcvrack/plugins/GoodSheperd/res/Switch1.svg usr/share/ usr/share/licenses/ usr/share/licenses/vcvrack-goodsheperd/ usr/share/licenses/vcvrack-goodsheperd/LICENSE-dist.txt
aarch64vcvrack-sonusmodular2.1.0-1Sonus Modular VCV Rack modules
Close

vcvrack-sonusmodular 2.1.0-1


Architecture:aarch64
Base Package:vcvrack-sonusmodular (PKGBUILD)
Description:Sonus Modular VCV Rack modules
Upstream URL:https://gitlab.com/sonusdept/sonusmodular
Groups:proaudio
vcvrack-plugins
Download Size:219.4 kB (Download)
Installed Size:2.7 MB
License:GPL3
Build Date:2023-01-11 18:53 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/SonusModular/ usr/lib/vcvrack/plugins/SonusModular/plugin-arm64.so usr/lib/vcvrack/plugins/SonusModular/plugin.json usr/lib/vcvrack/plugins/SonusModular/res/ usr/lib/vcvrack/plugins/SonusModular/res/addiction.svg usr/lib/vcvrack/plugins/SonusModular/res/bigknob.svg usr/lib/vcvrack/plugins/SonusModular/res/bitter.svg usr/lib/vcvrack/plugins/SonusModular/res/bymidside.svg usr/lib/vcvrack/plugins/SonusModular/res/campione.svg usr/lib/vcvrack/plugins/SonusModular/res/chainsaw.svg usr/lib/vcvrack/plugins/SonusModular/res/ctrl.svg usr/lib/vcvrack/plugins/SonusModular/res/deathcrush.svg usr/lib/vcvrack/plugins/SonusModular/res/fluidmix.svg usr/lib/vcvrack/plugins/SonusModular/res/fraction.svg usr/lib/vcvrack/plugins/SonusModular/res/harmony.svg usr/lib/vcvrack/plugins/SonusModular/res/knob.svg usr/lib/vcvrack/plugins/SonusModular/res/ladrone.svg usr/lib/vcvrack/plugins/SonusModular/res/LEDButton_0.svg usr/lib/vcvrack/plugins/SonusModular/res/LEDButton_1.svg usr/lib/vcvrack/plugins/SonusModular/res/ledbutton_off.svg usr/lib/vcvrack/plugins/SonusModular/res/ledbutton_on.svg usr/lib/vcvrack/plugins/SonusModular/res/LEDButton.svg usr/lib/vcvrack/plugins/SonusModular/res/luppolo.svg usr/lib/vcvrack/plugins/SonusModular/res/luppolo3.svg usr/lib/vcvrack/plugins/SonusModular/res/micromacro.svg usr/lib/vcvrack/plugins/SonusModular/res/mrcheb.svg usr/lib/vcvrack/plugins/SonusModular/res/multimulti.svg usr/lib/vcvrack/plugins/SonusModular/res/neurosc.svg usr/lib/vcvrack/plugins/SonusModular/res/oktagon.svg usr/lib/vcvrack/plugins/SonusModular/res/osculum.svg usr/lib/vcvrack/plugins/SonusModular/res/paramath.svg usr/lib/vcvrack/plugins/SonusModular/res/piconoise.svg usr/lib/vcvrack/plugins/SonusModular/res/pith.svg usr/lib/vcvrack/plugins/SonusModular/res/pusher.svg usr/lib/vcvrack/plugins/SonusModular/res/ringo.svg usr/lib/vcvrack/plugins/SonusModular/res/scramblase.svg usr/lib/vcvrack/plugins/SonusModular/res/screw.svg usr/lib/vcvrack/plugins/SonusModular/res/tropicana.svg usr/lib/vcvrack/plugins/SonusModular/res/twoff.svg usr/lib/vcvrack/plugins/SonusModular/res/yabp.svg
x86_64vcvrack-sonusmodular2.1.0-1Sonus Modular VCV Rack modules
Close

vcvrack-sonusmodular 2.1.0-1


Architecture:x86_64
Base Package:vcvrack-sonusmodular (PKGBUILD)
Description:Sonus Modular VCV Rack modules
Upstream URL:https://gitlab.com/sonusdept/sonusmodular
Groups:proaudio
vcvrack-plugins
Download Size:316.7 kB (Download)
Installed Size:2.7 MB
License:GPL3
Build Date:2023-01-11 18:53 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/SonusModular/ usr/lib/vcvrack/plugins/SonusModular/plugin.json usr/lib/vcvrack/plugins/SonusModular/plugin.so usr/lib/vcvrack/plugins/SonusModular/res/ usr/lib/vcvrack/plugins/SonusModular/res/addiction.svg usr/lib/vcvrack/plugins/SonusModular/res/bigknob.svg usr/lib/vcvrack/plugins/SonusModular/res/bitter.svg usr/lib/vcvrack/plugins/SonusModular/res/bymidside.svg usr/lib/vcvrack/plugins/SonusModular/res/campione.svg usr/lib/vcvrack/plugins/SonusModular/res/chainsaw.svg usr/lib/vcvrack/plugins/SonusModular/res/ctrl.svg usr/lib/vcvrack/plugins/SonusModular/res/deathcrush.svg usr/lib/vcvrack/plugins/SonusModular/res/fluidmix.svg usr/lib/vcvrack/plugins/SonusModular/res/fraction.svg usr/lib/vcvrack/plugins/SonusModular/res/harmony.svg usr/lib/vcvrack/plugins/SonusModular/res/knob.svg usr/lib/vcvrack/plugins/SonusModular/res/ladrone.svg usr/lib/vcvrack/plugins/SonusModular/res/LEDButton_0.svg usr/lib/vcvrack/plugins/SonusModular/res/LEDButton_1.svg usr/lib/vcvrack/plugins/SonusModular/res/ledbutton_off.svg usr/lib/vcvrack/plugins/SonusModular/res/ledbutton_on.svg usr/lib/vcvrack/plugins/SonusModular/res/LEDButton.svg usr/lib/vcvrack/plugins/SonusModular/res/luppolo.svg usr/lib/vcvrack/plugins/SonusModular/res/luppolo3.svg usr/lib/vcvrack/plugins/SonusModular/res/micromacro.svg usr/lib/vcvrack/plugins/SonusModular/res/mrcheb.svg usr/lib/vcvrack/plugins/SonusModular/res/multimulti.svg usr/lib/vcvrack/plugins/SonusModular/res/neurosc.svg usr/lib/vcvrack/plugins/SonusModular/res/oktagon.svg usr/lib/vcvrack/plugins/SonusModular/res/osculum.svg usr/lib/vcvrack/plugins/SonusModular/res/paramath.svg usr/lib/vcvrack/plugins/SonusModular/res/piconoise.svg usr/lib/vcvrack/plugins/SonusModular/res/pith.svg usr/lib/vcvrack/plugins/SonusModular/res/pusher.svg usr/lib/vcvrack/plugins/SonusModular/res/ringo.svg usr/lib/vcvrack/plugins/SonusModular/res/scramblase.svg usr/lib/vcvrack/plugins/SonusModular/res/screw.svg usr/lib/vcvrack/plugins/SonusModular/res/tropicana.svg usr/lib/vcvrack/plugins/SonusModular/res/twoff.svg usr/lib/vcvrack/plugins/SonusModular/res/yabp.svg
aarch64vcvrack-zetacarinae2.0.4-2ZetaCarinae VCV Rack modules
Close

vcvrack-zetacarinae 2.0.4-2


Architecture:aarch64
Base Package:vcvrack-zetacarinae (PKGBUILD)
Description:ZetaCarinae VCV Rack modules
Upstream URL:https://github.com/mhampton/ZetaCarinaeModules
Groups:proaudio
vcvrack-plugins
Download Size:126.3 kB (Download)
Installed Size:978.6 kB
License:GPL3
Build Date:2022-07-23 14:57 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/ZetaCarinae/ usr/lib/vcvrack/plugins/ZetaCarinae/plugin.json usr/lib/vcvrack/plugins/ZetaCarinae/plugin.so usr/lib/vcvrack/plugins/ZetaCarinae/res/ usr/lib/vcvrack/plugins/ZetaCarinae/res/BrownianBridge.svg usr/lib/vcvrack/plugins/ZetaCarinae/res/FireflyPlate.svg usr/lib/vcvrack/plugins/ZetaCarinae/res/GuildensTurnPlate.svg usr/lib/vcvrack/plugins/ZetaCarinae/res/IOUPlate.svg usr/lib/vcvrack/plugins/ZetaCarinae/res/OrnsteinUhlenbeckPlate.svg usr/lib/vcvrack/plugins/ZetaCarinae/res/RosenchancePlate.svg usr/lib/vcvrack/plugins/ZetaCarinae/res/RosslerRustlerPlate.svg usr/lib/vcvrack/plugins/ZetaCarinae/res/WarblerPlate.svg
x86_64vcvrack-zetacarinae2.0.4-2ZetaCarinae VCV Rack modules
Close

vcvrack-zetacarinae 2.0.4-2


Architecture:x86_64
Base Package:vcvrack-zetacarinae (PKGBUILD)
Description:ZetaCarinae VCV Rack modules
Upstream URL:https://github.com/mhampton/ZetaCarinaeModules
Groups:proaudio
vcvrack-plugins
Download Size:180.3 kB (Download)
Installed Size:982.6 kB
License:GPL3
Build Date:2022-07-23 14:57 UTC
Dependencies:gcc-libs
vcvrack
simde (make)
zstd (make)
Package Contents
usr/ usr/lib/ usr/lib/vcvrack/ usr/lib/vcvrack/plugins/ usr/lib/vcvrack/plugins/ZetaCarinae/ usr/lib/vcvrack/plugins/ZetaCarinae/plugin.json usr/lib/vcvrack/plugins/ZetaCarinae/plugin.so usr/lib/vcvrack/plugins/ZetaCarinae/res/ usr/lib/vcvrack/plugins/ZetaCarinae/res/BrownianBridge.svg usr/lib/vcvrack/plugins/ZetaCarinae/res/FireflyPlate.svg usr/lib/vcvrack/plugins/ZetaCarinae/res/GuildensTurnPlate.svg usr/lib/vcvrack/plugins/ZetaCarinae/res/IOUPlate.svg usr/lib/vcvrack/plugins/ZetaCarinae/res/OrnsteinUhlenbeckPlate.svg usr/lib/vcvrack/plugins/ZetaCarinae/res/RosenchancePlate.svg usr/lib/vcvrack/plugins/ZetaCarinae/res/RosslerRustlerPlate.svg usr/lib/vcvrack/plugins/ZetaCarinae/res/WarblerPlate.svg
aarch64xtuner1.0-2An instrument tuner for JACK with NSM support
Close

xtuner 1.0-2


Architecture:aarch64
Base Package:xtuner (PKGBUILD)
Description:An instrument tuner for JACK with NSM support
Upstream URL:https://github.com/brummer10/XTuner
Groups:pro-audio
Download Size:54.9 kB (Download)
Installed Size:174.0 kB
License:GPL2
Build Date:2022-10-31 19:45 UTC
Dependencies:cairo
gcc-libs
libfftw3f.so=3-64
libjack.so=0-64
liblo.so=7-64
libsigc-2.0.so=0-64
libzita-resampler.so=1-64
new-session-manager: for NSM support (optional)
fftw (make)
jack (make)
liblo (make)
libsigc++ (make)
zita-resampler (make)
Package Contents
usr/ usr/bin/ usr/bin/xtuner usr/share/ usr/share/applications/ usr/share/applications/XTuner.desktop usr/share/pixmaps/ usr/share/pixmaps/XTuner.png
x86_64xtuner1.0-2An instrument tuner for JACK with NSM support
Close

xtuner 1.0-2


Architecture:x86_64
Base Package:xtuner (PKGBUILD)
Description:An instrument tuner for JACK with NSM support
Upstream URL:https://github.com/brummer10/XTuner
Groups:pro-audio
Download Size:75.2 kB (Download)
Installed Size:190.4 kB
License:GPL2
Build Date:2022-10-31 22:41 UTC
Dependencies:cairo
gcc-libs
libfftw3f.so=3-64
libjack.so=0-64
liblo.so=7-64
libsigc-2.0.so=0-64
libzita-resampler.so=1-64
new-session-manager: for NSM support (optional)
fftw (make)
jack (make)
liblo (make)
libsigc++ (make)
zita-resampler (make)
Package Contents
usr/ usr/bin/ usr/bin/xtuner usr/share/ usr/share/applications/ usr/share/applications/XTuner.desktop usr/share/pixmaps/ usr/share/pixmaps/XTuner.png
aarch64ykchorus0.2.4-3A stereo dual-chorus audio effect CLAP/LADSPA/LV2/VST2/VST3 plugin and JACK application
Close

ykchorus 0.2.4-3


Architecture:aarch64
Base Package:ykchorus (PKGBUILD)
Description:A stereo dual-chorus audio effect CLAP/LADSPA/LV2/VST2/VST3 plugin and JACK application
Upstream URL:https://github.com/SpotlightKid/ykchorus
Groups:clap-plugins
ladspa-plugins
lv2-plugins
pro-audio
vst-plugins
vst3-plugins
Download Size:267.7 kB (Download)
Installed Size:6.4 MB
License:GPL-2.0-only
Build Date:2024-04-26 17:02 UTC
Dependencies:gcc-libs
glibc
libdbus-1.so=3-64
libglvnd
libx11
libxcursor
libxext
libxrandr
clap-host: for CLAP plugin (optional)
jack: for stand-alone JACK client program (optional)
ladspa-host: for LADSPA plugin (optional)
lv2-host: for LV2 plugin (optional)
vst-host: for VST2 plugin (optional)
vst3-host: for VST3 plugin (optional)
dbus (make)
kxstudio-lv2-extensions (check)
lv2lint (check)
Package Contents
usr/ usr/bin/ usr/bin/ykchorus usr/lib/ usr/lib/clap/ usr/lib/clap/ykchorus.clap usr/lib/ladspa/ usr/lib/ladspa/ykchorus-ladspa.so usr/lib/lv2/ usr/lib/lv2/ykchorus.lv2/ usr/lib/lv2/ykchorus.lv2/manifest.ttl usr/lib/lv2/ykchorus.lv2/presets.ttl usr/lib/lv2/ykchorus.lv2/ykchorus_dsp.so usr/lib/lv2/ykchorus.lv2/ykchorus_dsp.ttl usr/lib/lv2/ykchorus.lv2/ykchorus_ui.so usr/lib/lv2/ykchorus.lv2/ykchorus_ui.ttl usr/lib/vst/ usr/lib/vst/ykchorus-vst.so usr/lib/vst3/ usr/lib/vst3/ykchorus.vst3/ usr/lib/vst3/ykchorus.vst3/Contents/ usr/lib/vst3/ykchorus.vst3/Contents/aarch64-linux/ usr/lib/vst3/ykchorus.vst3/Contents/aarch64-linux/ykchorus.so
x86_64ykchorus0.2.4-3A stereo dual-chorus audio effect CLAP/LADSPA/LV2/VST2/VST3 plugin and JACK application
Close

ykchorus 0.2.4-3


Architecture:x86_64
Base Package:ykchorus (PKGBUILD)
Description:A stereo dual-chorus audio effect CLAP/LADSPA/LV2/VST2/VST3 plugin and JACK application
Upstream URL:https://github.com/SpotlightKid/ykchorus
Groups:clap-plugins
ladspa-plugins
lv2-plugins
pro-audio
vst-plugins
vst3-plugins
Download Size:298.1 kB (Download)
Installed Size:6.1 MB
License:GPL-2.0-only
Build Date:2024-04-26 17:02 UTC
Dependencies:gcc-libs
glibc
libdbus-1.so=3-64
libglvnd
libx11
libxcursor
libxext
libxrandr
clap-host: for CLAP plugin (optional)
jack: for stand-alone JACK client program (optional)
ladspa-host: for LADSPA plugin (optional)
lv2-host: for LV2 plugin (optional)
vst-host: for VST2 plugin (optional)
vst3-host: for VST3 plugin (optional)
dbus (make)
kxstudio-lv2-extensions (check)
lv2lint (check)
Package Contents
usr/ usr/bin/ usr/bin/ykchorus usr/lib/ usr/lib/clap/ usr/lib/clap/ykchorus.clap usr/lib/ladspa/ usr/lib/ladspa/ykchorus-ladspa.so usr/lib/lv2/ usr/lib/lv2/ykchorus.lv2/ usr/lib/lv2/ykchorus.lv2/manifest.ttl usr/lib/lv2/ykchorus.lv2/presets.ttl usr/lib/lv2/ykchorus.lv2/ykchorus_dsp.so usr/lib/lv2/ykchorus.lv2/ykchorus_dsp.ttl usr/lib/lv2/ykchorus.lv2/ykchorus_ui.so usr/lib/lv2/ykchorus.lv2/ykchorus_ui.ttl usr/lib/vst/ usr/lib/vst/ykchorus-vst.so usr/lib/vst3/ usr/lib/vst3/ykchorus.vst3/ usr/lib/vst3/ykchorus.vst3/Contents/ usr/lib/vst3/ykchorus.vst3/Contents/x86_64-linux/ usr/lib/vst3/ykchorus.vst3/Contents/x86_64-linux/ykchorus.so